Neoconix Releases New Low-Profile X-Beam(TM) Electrical Connector Family
Ideal Solution for Mobile Applications Requiring Robust Battery and Signal Connections
SAN JOSE, Calif., June 8, 2015 /PRNewswire/ -- Neoconix (http://www.neoconix.com) today announced immediate availability of its new X-Beam(TM) family of electrical connectors. Featuring an ultra-low-profile form factor and a simplified SMT assembly process, the X-Beam product family is the latest Neoconix offering specifically developed for mobile and communications applications. Key electrical capabilities include high current capacity (up to 12 amps) in combination with excellent high-speed signal capabilities (up to 20 Gbps).
The first set of products within this family, X-Beam Mobile FPC Battery Products, is comprised of three part numbers (XBM-G016A, XBM-G028A, XBM-D048A). With up to 12 amps of current carrying capacity and four additional signal contacts for temperature and over voltage sensing, these connectors are capable of supporting even the most demanding mobile applications. This power-performance is achieved in a total height of approximately 1.0mm and PCB area of less than 80mm(2).
The X-Beam technology also offers the ability to have either internally ganged of discrete contacts. Internal ganging is connecting all the positive (+) contacts together and the negative (-) contacts together within the connector. The redundancy lowers the terminal resistance to less than 3 milliohms to reduce temperature rise and improve battery life. An X-Beam product with discrete contacts in a battery application will rely on contact redundancy on the corresponding FPC contact pads, but adds versatility in combining power and high speed signaling within the same connector.
An excellent example is the 48 position X-Beam connector. It is fully compatible as a USB3.1 plus power delivery interface, with six high speed differential pairs for USB 3.0 & 2.0, four signal contacts for audio, and current capability up to 5.0 amps. The X-Beam connector meets the USB specification for less than -1.8dB loss at 15GHz.
"We developed the X-Beam family to provide a compelling solution for all types of mobile applications which typically are space and cost constrained," said David Chen, Senior Director of Operations. "The family has been optimized to provide significant cost savings for contact densities of 50 or below and is one of the only solutions on the market enabling both power and multiple high speed signals in the same connector - saving tremendous space and cost for the manufacturer."
The X-Beam products employ a robust z-axis compression contact geometry that has been proven on Neoconix interposers for over a decade. This design leverages a fully-understood and predictable performance capability in terms of working range, contact force, and environmental / life expectations.
The X-Beam connectors are RoHS compliant and may be surface mounted using industry standard reflow processes. Alignment features co-molded into the liquid crystal polymer (LCP) carrier allow simple positioning and alignment of the flexible printed circuit (FPC) onto the connector during final assembly. Assembly features include a vertical blind-mate tapered lead-in and one step screw retention - leading to significant reductions in time of assembly.
The X-Beam Mobile FPC Battery Products are available today as standard products off the shelf. For more information please contact Neoconix or an authorized sale representative. You may also visit our website at:
Neoconix, Inc. is a premier supplier of high density, high performance electrical connectors and interconnects. The company leverages its advanced printed circuit board (PCB) processing techniques to enable high-performance connectors at low cost. Characterized by exceptional dimensional control at fine feature sizes, Neoconix's PCBeam(TM) technology is ideal for a broad set of board-to-board, flex-to-board, and LGA socket applications. Neoconix's products and technologies are used in many markets, including mobile electronics, telecommunications, medical equipment, and test equipment. To learn more, please visit the Neoconix website at http://www.neoconix.com
Seven Carriers and National Retail Channels Open Sales of LG G4
LG Celebrates with Free G4 Accessories, Opens Pre-orders for Leather Back Covers
ENGLEWOOD CLIFFS, N.J., June 8, 2015 /PRNewswire/ -- LG Electronics today announced that the LG G4 is officially on sale online and in stores through major carriers, including Verizon, AT&T, Sprint, T-Mobile, and U.S. Cellular, as well as national retailers Best Buy and Amazon.com. This marks a momentous launch for LG and its highly sought-after flagship that features a fresh take on smartphone design. Available in Genuine Leather Brown, Genuine Leather Black, Metallic Gray, Ceramic White and Gold, specific pricing, color options and bundle details can be found on each carrier and retailer's site.
"Stitched into the heart and soul of all of our smartphones is our guiding principle of innovation for a better life," said Morris Lee, senior vice president and head of North American sales and marketing, LG MobileComm. "We focused long and hard on perfecting that promise in the G4, for consumers across the country to discover for themselves one of the best smartphone experiences on the market today."
Extra Battery. Double Storage. Zero Cost.
Just in time to celebrate and capture the spirit of summer travel and photography, consumers who purchase a new LG G4 now through June 21, 2015 can receive a free extra battery, memory card and battery charging cradle*---making it easier for those on-the-go to stay connected and ready to capture those once-in-a-lifetime memories with plenty of battery life and storage.
Beautiful from Cover to Cover
The LG G4's genuine leather back cover undergoes a meticulous tanning process that requires skilled craftsmen to produce and dye the leather. The colors of each cover are rich with warm tones that look authentic and change over time. Now you can brighten up your new G4 with an interchangeable genuine leather back cover in a limited-edition color. Get a second one free during this exclusive BOGO sale. Beginning on June 8, customers who visit LG.com can pre-order leather back covers for their LG G4 in red, pink, sky blue, yellow and orange for $69.00. The LG G4's interchangeable leather back covers allow users to mix and match color options to fit their style.
Rethinking the Camera and Display for a Visual Generation
With the LG G4, amateur and professional photographers alike can now add a smartphone to their repertoire. With an F1.8 wide aperture lens, 16MP rear-facing OIS 2.0 camera and 8MP front-facing selfie camera, the G4 captures professional-grade photos with stunning clarity and color accuracy. Its new Manual Mode lets users get even more creative with their shots by adjusting the focus, shutter speed, ISO, exposure compensation and white balance.
Capturing beautiful images is one of the G4's great qualities---and so is experiencing great visual experiences. The LG G4 is the first smartphone with a 5.5-inch IPS Quantum Display with Quad HD resolution, offering 20 percent greater color reproduction, 25 percent improvement in brightness and 50 percent greater contrasts over the LG G3.
Other key specifications** include:
-- Chipset: Qualcomm Snapdragon(TM) 808 Processor with X10 LTE
-- Display: 5.5-inch Quad HD IPS Quantum Display (2560 x 1440, 538ppi)
-- Memory: 32GB eMMC ROM, 3GB LPDDR3 RAM / microSD slot
-- Battery: 3,000mAh (removable)
-- Operating System: Android 5.1 Lollipop
For more details about the LG G4 and LG's promotion, please visit http://www.LGG4promo.com.
*Promotion is open to legal residents of the 50 U.S. including D.C. who have purchased an LG G4 device from a participating retailer or carrier during the Promotion Period. Purchase period starts on 5/22/2015 and ends on 6/30/2015. Requests must be received online or by mail-in submission before 7/14/2015 at 11:59:59 PM ET. Limited time offer. Quantities are limited. Limit: one (1) 32GB Micro SD card, one (1) extra LG battery, and one (1) battery charging cradle per person and per household. To redeem online, visit http://www.lgg4promo.com and enter the information requested and include: carrier where the purchase was made and upload a legible copy of your receipt of purchase, and picture of G4 box with IMEI number. Subject to full terms and conditions. To redeem by mail, see full terms and conditions available at http://www.lgg4promo.com.
**Specs vary by carrier.
About LG Electronics MobileComm USA, Inc.
LG Electronics MobileComm USA, Inc., based in Englewood Cliffs, N.J., is the U.S. sales subsidiary of LG Electronics USA Inc., a global innovator and trend leader in the global mobile communications industry. LG is driving the evolution of mobile forward with its highly competitive core technologies in the areas of display, battery and camera optics and strategic partnerships with noted industry leaders. LG's consumer-centric products --- including the flagship premium G Series models --- incorporate unique, ergonomic designs and intuitive UX features that enhance the user experience. The company remains committed to leading consumers into the era of convergence, maximizing inter-device connectivity between smartphones, tablets and a wide range of home and portable electronics products. For more information, please visit http://www.LG.com.
Arbor Solution Adds Fanless, Robust Ultra-Small Boxed Chassis System
Designed for a Wide Range of Applications, Unit Is Based on Single-Chip, Intel® Atom(TM) Processor E3800 Series and Dual-Core/Quad-Core Intel® Celeron® SoC Processors
SAN JOSE, Calif., June 8, 2015 /PRNewswire/ -- Arbor Solution has added an ultra-small boxed chassis system to its lineup of transportation box PCs. Designed for a wide range of applications, the new IEC-3300 is based on the Intel Atom processor E3800 series (formerly code-named 'Bay Trail') and an Intel Celeron dual-core processor N2807 or a quad-core processor J1900. Carrying both FCC and CE certification, the system may be safely and confidently used right out of the box.
"Using the latest Intel 'Bay Trail' technology, combined with our compact and robust chassis design, the IEC-3300 is high performance, yet still maintains a small, fanless form factor," stated Brian Yurkiw, Arbor Solution vice president. "Its varied I/O choices combined with an extended operating temperature range easily makes it a jack-of-all-trades. It is a reliable system designed to be a smaller solution that lasts longer. Without a doubt, it delivers a rugged, turn-key, go-anywhere solution without compromising performance or features."
The Intel Atom processor E3800 product family delivers outstanding computing, graphics, and media performance, while operating in an extended range of temperatures. It offers significant improvements in computational performance and energy efficiency. It provides high I/O connectivity, integrated memory controller, virtualization, error correcting code (ECC), and built-in security capabilities.
With its small footprint (6.4" x 4.3" x 1.5"), dustproof design, and operating temperature range of -4 to plus 131-degrees Fahrenheit, the robust Arbor Solution IEC-3300 is designed for a wide range of environments and applications:
-- It can power displays outside of temperature-controlled environments
-- It is well suited for customers with demanding digital signage projects
-- It provides the necessary high stability to withstand the shock and
vibration associated with moving vehicles, such as buses, cars, trains,
and trucks
-- It offers HDMI and VGA ports for dual displays
-- Its processor is capable of driving HD multimedia
With easy installation and maintenance, it is a versatile unit for applications such as warehouses, airport terminals, train depots, and manufacturing facilities.
Availability and Pricing
The Arbor Solution IEC-3300 ultra-small boxed chassis system is available now from authorized resellers throughout the U.S. and Canada. It has an MSRP of $333 for a barebones model featuring a dual-core N2807 processor, and $358 for a barebones model featuring a quad-core J1900 processor. As with all Arbor Solution products, it may be customized to user's preferences.
For more information about the IEC-3300, click here.
About Arbor Solution, Inc. North America
Arbor Solution, Inc. North America provides embedded systems and tablets to a variety of markets. Its expertise as an Industrial PC (IPC) provider makes it uniquely positioned to provide reliable solutions through a customer-first approach. Its products are known for their high productivity, convenience, efficiency, cost-effectiveness, and dependability. The company is at the forefront of the technology required to conduct business in challenging applications, considering factors such as ergonomics, business conditions, and varying specialized environments. Arbor Solution North America offices are located in the US in San Jose, CA; Florence, MA; and Flower Mound, TX; and in Canada in Ottawa, Ontario. The company is part of Taiwan-based Arbor Technology Corporation.
JasperGold formal and formal-assisted technology is integrated into the Cadence System Development Suite delivering up to three-month project verification schedule reduction
HIGHLIGHTS:
- Unified Cadence Incisive and JasperGold formal verification platform delivers up to 15X performance gain versus previous solutions
- JasperGold platform, now integrated within the System Development Suite, finds bugs typically three months earlier than existing verification methods
- JasperGold solution's powerful formal analysis engines are now integrated with Indago debug platform, automating root-cause analysis and on-the-fly what-if exploration
SAN JOSE, Calif., June 8, 2015 /PRNewswire/ -- Cadence Design Systems, Inc. (NASDAQ: CDNS) today announced the next-generation Cadence(®) JasperGold(®) formal verification platform. This new formal verification solution integrates Cadence Incisive(®) formal technology and JasperGold technology into a single platform that delivers up to 15X performance improvement versus previous solutions. Moreover, as an integrated part of the Cadence System Development Suite, the JasperGold technology can help to reduce verification schedule by up to three months.
The JasperGold platform significantly improves design quality and efficiency by integrating a comprehensive set of features into one solution, including:
-- Design compilation and formal engine technologies from Incisive(®)
Formal Verifier and Incisive Enterprise Verifier, including the
innovative Trident multi-cooperating engines. This enables easy
migration for existing Incisive customers and up to 15X performance
improvement for both bug-hunting and proof convergence modes.
-- The next-generation JasperGold platform has been fully integrated with
the Cadence System Development Suite's Incisive simulation and
Palladium(®) emulation platforms, and with vManager(TM) tool to enable
comprehensive metric-driven verification. This results in an up to
three-month schedule reduction through formal-assisted verification
closure.
-- Proven JasperGold Visualize(TM) and QuietTrace(TM) technologies, which
have been integrated with the Indago(TM) debug platform to further
expand analysis and on-the-fly what-if exploration, helping reduce
root-cause debug time up to 5-100X.
"As long-time customers of Incisive formal and simulation solutions, we are impressed with the next-generation JasperGold platform," stated Mark Dunn, executive vice president at Imagination Technologies. "As well as improved debug and ease-of-use, we've achieved a significant increase in performance compared to Incisive Enterprise Verifier, as measured by proof convergence in a given time."
"Delivering high quality SoC designs efficiently in an era of increasing design complexity is a continuing customer challenge," stated Oz Levia, vice president of Formal and Automated Verification, System & Verification Group at Cadence. "With this next-generation JasperGold platform, we've brought together the best of Cadence's formal verification technologies into a single JasperGold platform and linked that with simulation, emulation, debug and verification management to create a truly compelling and comprehensive solution to this customer challenge."
About Cadence
Cadence enables global electronic design innovation and plays an essential role in the creation of today's integrated circuits and electronics. Customers use Cadence software, hardware, IP, and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers, and research facilities around the world to serve the global electronics industry. More information about the company, its products, and services is available at http://www.cadence.com.
Traitify Releases World's First Personality Assessment for Apple Watch
New application powered by Traitify's API enables users to assess personality on iOS and Apple Watch devices
BALTIMORE, June 8, 2015 /PRNewswire/ -- Traitify has released the world's first personality assessment available for Apple Watch. Powered by the company's own Personality API, Traitify's Demo App allows developers and users alike to sample a variety of Traitify's assessments in a native mobile environment.
"At Traitify we believe in making our technology ready to be consumed by any individual, and wanted to take the first step in generating new ideas for developers to absorb," said Dan Sines, Co-Founder of Traitify. "By using our own API, we were able to rapidly produce a working demonstration of not only how our personality assessments perform on mobile devices, but also the ease and adaptability of it to new technologies."
Based on the popularity of Apple's new wearable, Traitify held an internal contest to encourage the development of an application accessible via Apple Watch. Tom Prats, a software developer for Traitify, led the development of the application, producing a working prototype within days of Apple Watch's release.
"I've always followed the idea of practicing what you preach," said Prats. "Instead of waiting for someone to discover how our API can work with Apple's WatchKit, I wanted to dive in head first, and come back with the knowledge to enhance the toolset available for developers."
The Traitify API can currently be embedded or integrated into applications, websites, marketing campaigns, and more. Traitify's development portal allows for quick and easy access to a Quick Start Guide and other essential resources. Developers can begin use immediately for free in a sandbox environment, with a starting cost of $0.50 per assessment taken once moved into production.
Traitify has a wide array of assessments ready for integration with any new or existing product or service. Assessments are delivered via a series of images, with users responding "Me" or "Not Me" as they relate to each visual. Developed by Traitify's in-house Psychology Team, the system quickly produces a full spectrum of personality data.
In related news, Hyundai Canada recently launched a promotion powered by Traitify. Utilizing both the API and a custom personality assessment, Hyundai Canada created a Fan Quiz as a part of their sponsorship with the Women's World Cup.
For more information, visit traitify.com/apps/ios
About Traitify
Founded in 2011, Traitify is a Baltimore-based technology company working to make personality a core element of social engagement and electronic commerce. Offering an array of visual personality assessments and the world's first personality API, Traitify helps individuals and organizations to create more human experiences and unlock the power of psychology and big data. Visit traitify.com for more information.
Samsung Galaxy S6 active Available Exclusively At AT&T
BEST IN CLASS GALAXY S6 RUGGED SMARTPHONE AVAILABLE FOR $0 DOWN ON THE NATION'S MOST RELIABLE 4G LTE NETWORK(1)
DALLAS, June 8, 2015 /PRNewswire/ -- For the third summer in a row, AT&T is bringing its customers the toughest and most advanced member of the Galaxy S family. The Samsung Galaxy S6 active - available only at AT&T(2) - is designed with your active lifestyle in mind. It gives you the durability you want while boasting a sleek, lightweight design and all of the innovation the Galaxy S6 has to offer. Beginning on June 12, you can purchase the Galaxy S6 active on http://www.att.com or at AT&T stores in Camo White, Camo Blue, or Gray.
Galaxy S6 active Pricing
Well qualified customers can purchase the Samsung Galaxy S6 active for $0 down on AT&T Next for $23.17 with 30 device payments on Next(SM) 24(3), $28.96 per month at 24 payments on Next 18, or $34.75 per month at 20 payments on Next 12.
Galaxy S6 active Promotions
For a limited time, customers can also enjoy a pair of deals when they purchase the Galaxy S6 active:
-- Get the Samsung Galaxy Tab 4 8.0 for free with a two year agreement.(4)
-- The Samsung Wireless Charging Pad is available for 50 percent off with
the purchase of the smartphone with Next or a two year agreement.(5)
Galaxy S6 active Features
Built to withstand whatever everyday life throws its way, the Samsung Galaxy S6 active has IP68 certified casing that is water resistant up to 1.5 meters for 30 minutes, shock resistant, and dust proof.(6) Whether you're at the office working or outside exploring, the device's high-power performance and innovation keep you connected, productive and entertained. Additional key features include:
-- Display: The Galaxy S6 active has a 5.1-inch Quad HD Super AMOLED(®)
display with 577ppi that automatically adapts to the content you're
viewing - even outdoors.
-- Camera: With a double-tap of the home button, the 16MP rear-facing and
5MP front-facing cameras launch faster than ever. Auto-Real time High
Dynamic Range (HDR) captures clever shots in virtually any light, and
the front camera's wide-angle lens allows you to take epic selfies.
-- Operating System: Android((TM)) 5.0.2 (Lollipop).
-- Processor: The Samsung Galaxy S6 active comes with Octa-core 64-bit
processor, Samsung's fastest and most powerful processor in a
smartphone.
-- Storage: 32GB of internal memory(7)
-- Voice Calling: HD Voice capable.(8)
-- Battery Life: The Samsung Galaxy S6 active features a 3500mAh and
embedded wireless charging technology that works with most wireless
charging pads on the market, so there's no more looking for a cord or
place to plug in.
-- Outdoor Features: Activity Zone is the ideal companion for outdoor
adventures, and includes a quick launching compass, flashlight,
stopwatch, and more.
-- Business Ready: Samsung KNOX provides security and protection right out
of the box, along with support for MDM partners, making device
management easy for IT administrators.
Supporting Quote
"As we've shown over the past three years as the exclusive provider of Samsung's Galaxy S active family, we feel that our customers shouldn't have to trade durability for best-in-class specs," said Jeff Bradley, senior vice president, Device Marketing and Developer Services, AT&T Mobility. "The Galaxy S6 active is the best Galaxy S6 in the market. It combines all the premium features of the Galaxy S6, but also is durable enough to handle wear and tear. You can't find this device - with its combination of performance and dependability, on the nation's most reliable 4G LTE network - with any other carrier. And on top of that, our customers will be able to choose between two cool new colors - camo white and camo blue."
"With the Galaxy S6 active, we're delivering consumers with high durability coupled with the powerful performance of Samsung's latest flagship smartphone," said Tim Baxter, President & COO & Mobile Division GM of Samsung Electronics America. "The result is a smartphone that brings ruggedized capabilities and water resistance coupled with the camera, battery and design features that empower our consumers and business users to do more."
Device Eco-rating
The Samsung Galaxy S6 active has earned five out of five stars on AT&T's Eco-Rating system. The system rates the environmental factors of AT&T branded handset devices. AT&T created the Eco-Rating system to empower consumers to make better informed decisions when selecting a device. For more information about this device's rating, AT&T's Eco-Rating System, and how you can join AT&T in making a difference, please visit http://att.com/ecospace.
Spartan Races
The Samsung Galaxy S6 active will be featured and available for purchase in on-site shops at upcoming Reebok Spartan Race events. Reebok Spartan Race, the world's leading Obstacle Race, is an event designed to push your limits, test your strength and challenge your endurance.
Join AT&T and Samsung at the races in Breckenridge, Colorado on June 13; Dallas, Texas on June 20; Midway, Utah on June 27; and Palmerton, Pennsylvania on July 11. For more information on the races, please visit http://www.spartan.com.
Supporting Resources
-- View the Samsung Galaxy S6 active video: go-att.us/SamsungGS6ActiveHL
-- For more information visit: http://www.att.com/galaxys6active.
(1)Reliability based on nationwide 4G LTE. LTE is a trademark of ETSI. 4G LTE not available everywhere.
(2)AT&T products and services are provided or offered by subsidiaries and affiliates of AT&T Inc. under the AT&T brand and not by AT&T Inc.
(3)$0 down with AT&T Next req's well-qualified credit & a 20-mth, 24-mth or 30-mth 0% APR installment agreement. Wireless service (voice & data) is required & is extra. Tax due at sale. If wireless svc is cancelled, device balance due. Other charges & restr's apply. Visit att.com/next for AT&T Next requirements.
(4)Limited Time Offer. Must buy smartphone via AT&T Next installment agmt, tablet w/ 2-yr agmt & activate wireless svc on both. Activ./upgrade (up to $40) & early termination fees, & other charges & restr's apply. See att.com/shop/wireless/devices/tab4-bundle.html for bundle offer details.
(5)Offer ends 07/23/15, while promo supplies last. Must buy smartphone via AT&T NextSM installment agmt w/ qual. monthly wireless svc plan (voice & data) or new 2-yr wireless agmt w/qual. monthly voice plan. Smartphone and Samsung charging mat must be purchased together. Purchase of iFrogz GoLite is not req'd. Activ./upgrade (up to $40) & early termination fees, & other charges & restr's apply. Visit a store for bundle offer details.
(6)Meets US Mil-STD-810G for water-resistance and to withstand dust, shock, vibration, temperature extremes, humidity, and high altitude. Water-resistant based on IP68 rating. Submersible up to 1.5m of water for up to 30 mins. Shock-resistant when dropped from <= 4 ft onto flat surface. For additional device details, visit att.com/galaxys6active.
(7)Portion of memory occupied by existing content.
(8)AT&T HD Voice has limited availability in select markets and may not be available in your area. To experience HD Voice, both parties must be in AT&T HD Voice coverage using AT&T HD Voice-capable devices. Other restrictions apply. See http://www.att.com/hdvoice for details.
About AT&T
AT&T Inc. (NYSE: T) helps millions of people and businesses around the globe stay connected through leading wireless, high-speed Internet, voice and cloud-based services. We're helping people mobilize their worlds with state-of-the-art communications, entertainment services and amazing innovations like connected cars and devices for homes, offices and points in between. Our U.S. wireless network offers customers the nation's strongest LTE signal and the nation's most reliable 4G LTE network. We offer the best global wireless coverage.* We're improving how our customers stay entertained and informed with AT&T U-verse(®) TV and High Speed Internet services. And businesses worldwide are serving their customers better with AT&T's mobility and highly secure cloud solutions.
Reliability and signal strength claims based on nationwide carriers' LTE. Signal strength claim based ONLY on avg. LTE signal strength. LTE not available everywhere.
*Global coverage claim based on offering voice and LTE data roaming in more countries than any other U.S. based carrier, and offering the most wireless smartphones and tablets that work in the most countries.
About Samsung Electronics America, Inc.
Headquartered in Ridgefield Park, NJ, Samsung Electronics America, Inc. (SEA), is a recognized innovation leader in consumer electronics design and technology. A wholly owned subsidiary of Samsung Electronics Co., Ltd., SEA delivers a broad range of digital consumer electronics, mobile products and wearables, wireless infrastructure, IT and home appliance products. Samsung is the market leader for HDTVs in the U.S and one of America's fastest growing home appliance brand. To discover more, please visit http://www.samsung.com.
About Samsung Electronics Co., Ltd.
Samsung Electronics Co., Ltd. inspires the world and shapes the future with transformative ideas and technologies, redefining the worlds of TVs, smartphones, wearable devices, tablets, cameras, digital appliances, printers, medical equipment, network systems, and semiconductor and LED solutions. We are also leading in the Internet of Things space through, among others, our Smart Home and Digital Health initiatives. We employ 307,000 people across 84 countries with annual sales of US $196 billion. To discover more, please visit our official website at http://www.samsung.com and our official blog at global.samsungtomorrow.com.
CONTACT: Melissa Cappabianca, AT&T Media Relations, Contact: +1 310-482-4298, Melissa.Cappabianca@fleishman.com, or Jonathan Varman, Samsung Media Relations, Contact: +1 201-334-3526, j.varman@sea.samsung.com
PLI Announces Launch Of The Internal Investigation Game
NEW YORK, June 8, 2015 /PRNewswire-USNewswire/ -- Practising Law Institute (PLI) is pleased to announce another new addition to the Interactive Learning Center, a product line of innovative, learning-by-doing programs. The Internal Investigation Game is an entertaining and immersive "serious game" in which players plan and conduct a corporate internal investigation as associates with a fictional law firm.
This engaging, self-paced simulation, created with the assistance of experts Theodore Banks (Scharf Banks Marmor LLC and Compliance & Competition Consultants, LLC) and Rebecca Walker (Kaplan & Walker, LLP), challenges participants to perfect a variety of legal skills, including planning and conducting witness interviews, fact gathering and reporting findings to company management. Throughout the game, players are faced with increasingly difficult challenges and are guided by a senior mentor providing constructive feedback when mistakes are made.
"In this serious game, we don't tell you how to perform an internal investigation; we put you in charge of one. The general counsel briefs you on the matter; you conduct virtual interviews and deliver a report to senior management. So in this course, not only will you learn the process of an internal investigation - you will run it," said Chris Rousseau, Director of PLI's Research and Development Division.
Practising Law Institute is a nonprofit continuing legal education and professional business training organization, chartered by the Regents of the University of the State of New York. Founded in 1933 by Harold P. Seligson, PLI is dedicated to providing the legal community and allied professionals with the most up-to-date, relevant information and techniques, through seminars and workshops, live Webcasts, and On-Demand learning. PLI also publishes comprehensive treatises and practice-focused Course Handbooks in print and also through Discover PLUS, its searchable eBook library and research database. PLI holds programs in its offices in New York and California, and elsewhere throughout the U.S., as well as in London, Hong Kong and Latin America. PLI annually awards more than 50,000 scholarships to its programs, and its more than 4,000 speakers include the most prominent lawyers, judges, investment bankers, accountants, corporate counsel, and U.S. and international regulators.
Photo:http://photos.prnewswire.com/prnh/20120423/DC92468LOGO http://photoarchive.ap.org/
Video:http://origin-qps.onstreammedia.com/origin/multivu_archive/PRNA/ENR/0-Trimmed-Ranj-Trailer-_480p_.mp4
Practising Law Institute
CONTACT: Chris Rousseau, crousseau@pli.edu, (212) 824-5878
Hot New iPhone Game ChuckTheSheep Launches on the App Store
Delightful sheep in highly addictive game rivals Angry Birds and similar mobile games
RALEIGH, N.C., June 8, 2015 /PRNewswire/ -- Intelligent Apps, LLC has announced the release of ChuckTheSheep, a highly entertaining and addictive game designed for the iPhone. The game, which will be available on the App Store on June 8th, 2015, promises to challenge and delight mobile gamers with a series of mind-blowing levels supported by beautifully designed graphics.
The game's hero is Chuck The Sheep, a delightful farm animal who is trying to save his friend "The Penguin" and free him from his cage. Armed with nothing but a wood log and a clear understanding of the laws of physics, Chuck is determined to save the Penguins and must navigate a series of exciting obstacles to achieve this goal.
"If you've enjoyed Angry Birds and Cut-The-Rope, then this game is for you," says Sam Al-Jamal, CEO of Intelligent Apps. "The simple drag and tap controls make sure the player is focused on the game and not the mechanics of the controls. It is very easy to play, yet difficult to master as the challenges increase with each level."
ChuckTheSheep was developed to entertain and engage users in each level with stunning graphics crafted by several artists, while applying strict rules of physics, gravity and collisions. The game's entertainment value is carefully balanced with the governing laws of physics and sound mathematical equations hand crafted by the developer who has a PhD in Engineering.
Intelligent Apps will release two versions of ChuckTheSheep - a free version with 9 levels and a paid version with 36 levels. The paid version will be available on the App Store for an introductory price of just $2.99 (USD), and will be updated with 18 more levels in the coming months. Additional levels will be added to the game later this year.
The free version of ChuckTheSheep FREE and full paid version of ChuckTheSheep can be downloaded from the App Store.
About Intelligent Apps, LLC
Intelligent Apps is a North Carolina based software design firm dedicated to delivering innovative technology solutions for solving everyday problems. The company helps clients grow their business, be more productive, and achieve a balanced life through the use of technology. To learn more, visit http://www.intelligentappsinc.com or email info@intelligentappsinc.com. Connect with Intelligent Apps on Facebook/ChuckTheSheep and Twitter @chucksheep. Game demo video.
Breathe, eCig Corp. Officially Launches Its Product Line in New York City and Eastern Tennessee
NEW YORK, June 8, 2015 /PRNewswire/ -- Breathe eCig Corp. (OTCQB: BVAP) ("Breathe" or "the Company"), a revenue generating electronic cigarette industry innovator and pioneer focused on the elimination of second hand smoke, today announced that it has officially launched its product line in the New York City Metropolitan area ("N.Y. Metro area" or "New York City") as well as Eastern Tennessee. The Company is also pleased to confirm to shareholders that its second generation product will be available in stores throughout New York City on or before June 18, 2015. In addition the Company's second generation products are expected to hit the retail shelves in Eastern Tennessee on or before June 15, 2015. The Company's infrastructure is already in place for the important New York City launch, such infrastructure includes: customer service, general liability insurance, distribution vans, sales force, customer relations management, marketing materials, and revenue recognition system(s). The Company has committed to update shareholders at the end of each month the approximate number of N.Y. Metro area stores and locations carrying its product(s), commencing June 30, 2015.
Additionally the Company has signed a contract with Maxim Magazine and will officially advertise its proprietary e-Cigarette later this month which will include: print media, digital and event marketing activities, including the official launch event for Breathe scheduled for the evening of June 25, 2015 in New York. The Company believes that the Maxim brand is highly reflective of its target demographic and believes the global entertainment media brand has the ability to build Breathe within popular culture amidst this rapidly growing category.
Breathe's CEO Josh Kimmel commented, "When the Company was founded back in 2012, the Company's vision was to produce a uniquely high quality product that would differentiate itself from competitors in this large and rapidly growing marketplace. The Company has built an outstanding product and has emphasized a socially responsible approach that has been applied to both the manufacturing process and as well as the marketing materials. The New York City market is of great importance to the Company and we have great confidence in our distribution partner and our ability to expand efficiently, effectively and profitably."
PLEASE NOTE that Maxim Magazine is a completely separate entity from investment banking firm Maxim Group LLC (with which the company has an ongoing business relationship)
About Breathe eCig Corp.
Breathe was founded in 2012 as a research and development company in Knoxville, Tennessee with the mission to develop a better e-cigarette than was available on the market. Now holding multiple patents (pending) for its groundbreaking product, BVAP, Breathe is not your typical e-cig manufacturer. With a commitment to remaining socially responsible through innovative product development, consumer outreach and education, Breathe challenges the e-cig industry establishment. To find out more, visit http://www.breathecig.com.
This press release contains statements that are "Forward-Looking" in nature (within the meaning of the Private Securities Litigation Reform Act of 1995, Section 27A of the Securities Act of 1933, as amended, and Section 21E of the Securities Exchange Act of 1934, as amended). All statements regarding the Company's financial position, potential, business strategy, plans and objectives for future operations are Forward-Looking statements. Many of these statements contain words such as "goal," "aims," "may," "expect," "believe," "intend," "anticipate," "estimate," "continue," "would," "exceed," "should," "steady," "plan," "potential," "dramatic," and variations of such words and similar expressions identify Forward-Looking statements, but their absence does not mean that a statement is not a Forward-Looking statement. Because Forward-Looking statements involve future risks and uncertainties, there are many factors that could cause actual results to differ materially from those expressed or implied. The Company cannot predict the actual effect these factors will have on its results and many of the factors and their effects are beyond the Company's control. Any forward-looking statement made by the Company speaks only as of the date on which it is made. The Company is under no obligation to, and expressly disclaims any obligation to, update or alter its forward-looking statements, whether as a result of new information, subsequent events or otherwise. Given these uncertainties, you should not rely too heavily on these forward-looking statements.
Information for the Educated Investor
For further information regarding these and other risks related to Breathe eCigs' business, investors should consult Breathe eCigs' filings with the Securities and Exchange Commission, available at http://www.sec.gov, and contact Company counsel at jquick@quicklawgroup.com
Contact:
Mr. Joshua Kimmel
Chief Executive Officer
Tel: +1(865) 337-7549
josh@breathecig.com
Webroot Introduces Expanded Threat Intelligence Solution for Splunk
Seamless Integration of BrightCloud IP Reputation Service into Splunk App for Enterprise Security Delivers Highly Accurate and Timely Threat Data to Enterprises
BROOMFIELD, Colo., June 8, 2015 /PRNewswire/ -- Webroot, the market leader in intelligent cybersecurity for endpoints and collective threat intelligence, today announced the expansion of its BrightCloud Threat Intelligence for Splunk product family with the introduction of the Webroot BrightCloud Threat Intelligence Add-on for Splunk. The new solution integrates the industry-leading Webroot BrightCloud IP Reputation Service into Splunk Enterprise or Splunk App for Enterprise Security, enabling enterprises to more quickly detect connections to malicious IP addresses within their IT infrastructure. With BrightCloud threat intelligence, Splunk alerts of suspicious activities as they happen and provides detailed contextual information on each malicious IP so incident response teams can quickly investigate and remediate threats before they lead to costly data theft.
According to the Webroot 2015 Threat Brief, over 85,000 IP addresses are reclassified from benign to malicious every day. This reinforces the importance of using real-time IP threat intelligence to effectively detect and alert of malicious IPs. With Webroot's dynamic list of known malicious IP addresses, which contains approximately 12 million IPs at any given time, the BrightCloud Threat Intelligence Add-on for Splunk enables enterprises to correlate network traffic and IP reputation data in their Splunk Enterprise or Splunk App for Enterprise Security environment to identify suspicious inbound and outbound IP connections.
"Because attackers change hosts and IP addresses frequently, enterprises can struggle to determine which new IP address activity indicates threats to their organization," said Mike Malloy, executive vice president of products and strategy at Webroot. "With Webroot BrightCloud Threat Intelligence Add-on for Splunk, enterprises can augment threat data in their existing security response solution with Webroot's big data analytics and automated machine learning to rapidly identify potentially dangerous incoming or outbound IP traffic, minimizing the window of opportunity for attackers."
Webroot Presents New Service at Gartner Security and Risk Management Summit
Webroot will demonstrate BrightCloud Threat Intelligence Services and BrightCloud Threat Intelligence for Splunk at the Gartner Security & Risk Management Summit on June 8-11, 2015 in National Harbor, Maryland, booth #717. Additionally, Webroot will be hosting a number of speaking sessions at the conference, including:
-- The 5 Must-Haves in a Next-Gen Endpoint Security Solution - On Tuesday,
June 9 at 9:15 a.m. in room Potomac 1, Webroot chief technology officer,
Hal Lonas, will host a session on preventing endpoint infections, as
well as discussing detection and prevention techniques, such as the
ability to predict which unknown files/URLs/IPs are truly malicious.
-- Using Collective Threat Intelligence to Prevent Unknown Threats - On
Wednesday, June 10 at 1:20 p.m. in Theater A, Prince George's Hall C,
David Dufour, senior director of security architecture at Webroot, will
explain how to use big data analytics and deep data correlation to
better identify threats and prevent future occurrences.
-- Defeat Cyber Threats with Collective Threat Intelligence & Smarter
Endpoint Security - Presented daily in the Chesapeake Boardrooms,
Webroot chief technology officer, Hal Lonas, will discuss how
organizations can implement best practices in endpoint security and
threat intelligence to provide faster detection and more comprehensive
protection of their organizational assets.
About Webroot
Webroot provides intelligent cybersecurity for endpoints that harnesses collective threat intelligence to protect the Internet of Everything. We protect consumers, businesses and technology providers from malware and other cyberattacks using a cloud-based threat intelligence network. Computers, tablets, smartphones and the Internet of Things can be protected by our award-winning suite of SecureAnywhere® and BrightCloud® products. Webroot protects over 30 million devices and is trusted by market-leading technology companies, including: Cisco, F5 Networks, HP, Microsoft, Palo Alto Networks, and RSA. Webroot is headquartered in Colorado and operates globally across North America, Europe and the Asia Pacific region. Discover smarter cybersecurity at http://www.webroot.com and http://www.brightcloud.com.
New Therm-App(TM) TH presents a viable alternative to traditional thermography tools
KARMIEL, Israel, June 8, 2015 /PRNewswire/ -- Following the outstanding success of Therm-App(TM), the industry's first thermal imaging camera for Android phones, Opgal has announced two new models of Therm-App(TM). The new Therm-App(TM) TH device, dedicated for thermography applications, allows professionals to take accurate temperature measurements captured on high resolution thermographic photos & videos and immediately transmit them via email, messaging or file sharing platforms. The device offers an exciting suite of thermography features including full radiometric capability, multiple color palettes, video and sound recording, as well as efficient reporting and data analysis. In addition, like all other Therm-App(TM) models, Therm-App(TM) TH enables users to benefits from important Android smartphone features including touchscreen capabilities, round-the-clock connectivity, ongoing application updates, and more.
"Therm-App(TM) TH offers unparalleled value," said Amit Mattatia, Opgal President & CEO. "With its 384 x 288 resolution and a 6.8 wide angle lens, and all its Android device and application features, Therm-App(TM) TH provides professionals with a mobile tool unlike anything they currently work with -- and at significantly lower prices when compared to traditional tools with comparable image quality."
Therm-App(TM) TH is ideal for thermography, enabling temperature measurements of electrical installations, buildings, HVAC, insulation, plumbing and veterinary, and many other applications. Its affordable pricing makes it available to a wide range of professionals requiring reliable thermographic data for a broad range of applications.
Opgal is also announcing another member of the Therm-App(TM) family: Therm-App(TM) Hz, a high-end solution for security, safety and other night / outdoor applications. Through its higher 25 Hz frame rate, Therm-App(TM) Hz delivers smooth thermal images, especially when the user or the targets observed are on the move, using a variety of interchangeable lenses ranging from 6.8mm to 35mm.
Both Therm-App(TM) TH and Therm-App(TM) Hz are now available from Opgal's distributors worldwide. For more information, visit http://www.Therm-App.com.
About Opgal
Opgal Optronic Industries Ltd. is a leading global provider of innovative infrared imaging systems and advanced vision and surveillance solutions. Using state-of-the-art thermal and other imaging technologies, Opgal leverages advanced electro-optics and image processing expertise to create high performance, versatile visualization products. Founded more than 30 years ago, Opgal is a major supplier to leading contractors and integrators, as well as corporate and professional customers in over 60 countries.
iOS App Amanda Brings a New Take on Chinese Learning
Now Available for iPhone and iWatch
SHANGHAI, June 8, 2015 /PRNewswire/ -- Chinese, as a language, has recently gained attention with 30M learners worldwide. According to a recent report from the Washington Post, the number of U.S. colleges teaching Chinese has risen over 110 percent since 1990. While such figures point to the language becoming increasingly accessible, it remains one of the toughest languages for native English speakers to grasp. In response, Shanghai-based startup Amanda, is approaching this challenge from an entirely different perspective: pop culture and trending news.
Amanda, a newly released app on iOS, delivers eight curated stories everyday in both English and Chinese through a clutter-free interface. The stories are hand-picked from a vast sea of local red-hot topics to keep users updated with the most authentic and current stories happening right now in China.
Differing from tons of other language learning apps that jumped on the mobile e-learning bandwagon, Amanda is trying to tackle the fundamental motivation of learners. The team behind the product strongly believes that China is the most attractive part about the Chinese language, that the modern Chinese condition is complex, ever-changing, and thus exhilarating. The team wanted to couple language learning with the allure of the booming nation's modern culture.
In order to cover learners on both ends of the proficiency scale, Amanda selects 'featured words' in each article, helping beginners to get started with the most basic words, while more sophisticated users have the option of viewing every word in the article in both English and Chinese.
To help learners improve their listening comprehension and pronunciation skills, Amanda also offers audio recordings for not just each word but the entire articles as a whole.
The app is now available in the Apple App Store for iPhone and iWatch. The iWatch experience has been optimized for the wrist -- learners can quickly swipe through the different feature words they want to learn, or tap one to go directly to the iPhone app for the whole story.
About Amanda Chinese Learning App:
Launched early this year, Amanda is co-founded by three entrepreneurs from Sweden, China and the US with expertise in language learning, digital marketing and product development. The app can be downloaded now at: http://apple.co/1AMZA5y
Trainer By Gibson Headphones Now Available To Amp Up Summer Fitness
Wireless Sport Headphones Created in Partnership with Fastest Man Alive Usain Bolt Brings Together Style, Safety and Superior Sound
NASHVILLE, Tenn., June 8, 2015 /PRNewswire/ --Gibson Brands, best known for its global leadership in musical instruments, consumer electronics and audio, today announced that Trainer by Gibson headphones are now available. Unveiled at CES 2015, Trainer by Gibson marks the company's entry into the headphone market with the debut product of their innovative new health-focused brand.
Developed in partnership with Usain Bolt, the fastest man alive and six-time Track & Field Olympic Gold medalist, Trainer by Gibson incorporates a diverse range of features specifically designed for fitness enthusiasts.
Bolt understands the needs of athletes and the power of music to motivate and achieve fitness goals. Trainer by Gibson combines Gibson's unparalleled sound quality with practical functionality, culminating in the ultimate sport headphone. Available in black or white with a streamlined design, Trainer by Gibson is the must have fitness accessory out just in time for summer shape up season.
Trainer by Gibson wireless headphones include several features never brought together in one device, developed for both casual and diehard fitness enthusiasts:
-- The headphones switch between an active mode and a lifestyle mode. With
just a push of a button, the Aeroflex design transforms them into sport
headphones providing the right fit, comfort and stability for a proper
workout.
-- NightNav strategically placed LED light offers safety and visibility;
perfect for nighttime runs.
-- By activating the SafeSound function you turn down the volume and reduce
the bass so that you can stay alert to your surroundings.
-- CoolTouch keeps workouts comfortable through climate regulating cushions
with cutting-edge NASA space technology fabric. The Outlast technology
uses Phase Change Materials that absorb, store and release heat for
optimal thermal comfort.
-- Weather and sweat resistance is achieved through WeatherSeal as drivers
are protected by a hydroscopic mesh and the internals are sealed with
silicon gaskets. After extra vigorous workouts, the cushions can be
removed and cleaned.
-- FreeMov through superior Bluetooth performance and 10 hours of battery
life for music allows unrestricted, wireless movement to maximize focus
on fitness.
Trainer by Gibson is now available for $249.99 USD and information on where to purchase them can be found online at http://www.thisistrainer.com .
About Trainer by Gibson:
Trainer by Gibson is a new health-focused brand inspired by and designed for an active lifestyle. Building on Gibson's expertise in musical instruments, consumer electronics and audio, Trainer harnesses the power of music to help anybody who cares about fitness reach their potential. Innovative technology, powerful sound quality and contemporary design are at its core.
Altera Reveals Stratix 10 Innovations Enabling the Industry's Fastest and Highest Capacity FPGAs and SoCs
Innovations Deliver 2X Performance, 5.5 Million Logic Elements, Heterogeneous 3D System-in Package Integration, and Most Comprehensive Security Capabilities
News Highlights:
- HyperFlex architectural innovations in Stratix 10 FPGAs and SoCs, built on Intel 14 nm Tri-Gate, deliver 2X performance gains and breakthrough power efficiencies.
- New era of heterogeneous 3D SiP (system-in-package) integration enables scalability, flexibility and fast time-to-market for next-generation transceiver-based solutions.
- Industry's most comprehensive high-performance FPGA security capabilities enabled through an innovative Secure Device Manager.
SAN JOSE, Calif., June 8, 2015 /PRNewswire/ -- Altera Corporation (Nasdaq: ALTR) today revealed architectural and product details of its Stratix(®) 10 FPGAs and SoCs, the next generation of high-end programmable logic devices delivering breakthrough levels of performance, integration, density and security.
Stratix 10 FPGAs and SoCs leverage Altera's revolutionary HyperFlex((TM)) FPGA fabric architecture built on the Intel(®) 14 nm Tri-Gate process to provide 2X higher core performance over previous generation FPGAs. Combining the industry's highest performance, highest density FPGA with advanced embedded processing capabilities, GPU-class floating point computation performance and heterogeneous 3D SiP integration, enables Altera customers to uniquely address design challenges in the next generation of communications, data center, IoT infrastructure, military and high-performance computing systems.
"The capabilities that we offer in Stratix 10 FPGAs and SoCs are truly unmatched in the industry," said Danny Biran, senior vice president of marketing at Altera. "Stratix 10 FPGAs and SoCs will enable our customers to design their systems and innovate in ways that were previously not possible in an FPGA."
Stratix 10 FPGAs and SoCs are the first Altera devices to leverage the company's new HyperFlex architecture, the FPGA industry's most significant fabric architecture innovation in over a decade. The HyperFlex architecture, along with a full process node advantage from the Intel 14 nm Tri-Gate process, provides a 2X core logic frequency improvement over competing next-generation high-end FPGAs.
The HyperFlex architecture introduces registers throughout all core interconnect routing segments, enabling Stratix 10 FPGAs and SoCs to benefit from proven performance-enhancing design techniques such as register retiming, pipelining and other design optimization techniques. These design techniques are not practical in conventional FPGA architectures. The HyperFlex architecture allows designers to eliminate critical paths and routing delays, and rapidly close timing on their designs. The ability to achieve 2X higher core logic performance also enables dramatic improvements in device utilization and power by reducing the need for very wide data paths and other skew-inducing design constructs required by competing architectures. The HyperFlex architecture enables high-performance designs to operate up to 70 percent lower power by reducing logic area requirements. Find more information at http://www.altera.com/hyperflex.
A New Era of Heterogeneous 3D System-in-Package Integration
All members of the Stratix 10 FPGA and SoC family leverage heterogeneous 3D SiP integration to efficiently and economically integrate a high-density monolithic FPGA core fabric (up to 5.5M logic elements) with other advanced components, thereby increasing the scalability and flexibility of Stratix 10 FPGAs and SoCs. A monolithic core fabric maximizes device utilization and performance by avoiding the connectivity issues of competing homogeneous devices that use multiple FPGA die to deliver higher densities. Altera's heterogeneous SiP integration is enabled through the use of Intel's proprietary EMIB (Embedded Multi-die Interconnect Bridge) technology, which provides higher performance, reduced complexity, lower cost and enhanced signal integrity compared to interposer-based approaches.
Initial Stratix 10 devices will use EMIB to integrate high-speed serial transceiver and protocol tiles with monolithic core logic. Implementing high-speed protocols and transceivers through a heterogeneous approach will allow Altera to rapidly deliver Stratix 10 device variants that address evolving market demands. For example, the use of heterogeneous 3D SiP integration provides Stratix 10 devices a path to support higher transceiver rates (56 Gbps), emerging modulation formats (PAM-4), communications standards (PCIe Gen4, Multi-Port Ethernet), and other capabilities such as analog or high-bandwidth memory.
All densities in the Stratix 10 family will be available with an integrated 64-bit ARM(®) quad-core Cortex(®)-A53 hard processor system (HPS) with a rich feature set of peripherals, including a system memory management unit, external memory controllers and high-speed communication interfaces. With Stratix 10 SoCs, Altera will extend its industry leadership position as the only vendor to offer high-end SoC FPGAs. This versatile computing platform offers exceptional adaptability, performance, power efficiency, system integration and design productivity for a broad range of high-performance applications. Architects can leverage Stratix 10 SoCs in high-performance systems to enable hardware virtualization, while adding management and monitoring capabilities, such as acceleration pre-processing, remote update and debug, configuration, and system performance monitoring.
Maximum Design Protection with Comprehensive Security Capabilities
Stratix 10 FPGAs and SoCs will feature the industry's most comprehensive security capabilities in a high-performance FPGA. At its core is an innovative Secure Design Manager (SDM), which delivers sector-based authentication and encryption, multi-factor authentication and physically unclonable function (PUF) technology. Altera has partnered with Athena Group and IntrinsicID to deliver world-class encryption acceleration and PUF IP for Stratix 10 FPGAs and SoCs. This level of security makes Stratix 10 FPGAs and SoCs an ideal solution for use in military, cloud security and IoT infrastructure, where multi-layered security and partitioned IP protection are paramount.
Enpirion PowerSoCs Optimized for Stratix 10 FPGAs and SoCs
Stratix 10 FPGAs and SoCs are supported by Altera's portfolio of Enpirion PowerSoC power solutions. Enpirion PowerSoCs are optimized to meet stringent performance and power requirements while offering high efficiency in the smallest footprint.
Industry's Fastest Timing Closure for Multi-million LE Designs
Altera's new Spectra-Q engine within the Quartus(®) II software is designed to maximize the performance, power, and area saving benefits the HyperFlex architecture provides, while improving designer productivity and time-to-market for Stratix 10 FPGAs and SoCs. The Quartus II software extends Altera's software leadership with new capabilities that will deliver up to 8X compile time improvements, versatile and fast-tracked design entry, drop-in IP integration, and support for OpenCL and other higher-level design flows. Additional information on the Spectra-Q engine is available at http://www.altera.com/spectraq.
Stratix 10 FPGA and SoC Technical Specifications:
-- Up to 5.5 million logic elements in a monolithic die
-- Heterogeneous 3D SiP integration combines FPGA fabric with high-speed
transceivers
-- Up to 144 transceivers deliver 4X serial bandwidth over the previous
generation
-- 64-bit quad-core ARM Cortex-A53 hard processor subsystem operating up to
1.5 GHz
-- Hard floating point DSP enables single precision operations up to 10
TFLOPS
-- Secure Device Manager: Comprehensive high-performance FPGA security
capabilities
-- Industry-leading single-event upset (SEU) detection and scrubbing
-- Footprint-compatible migration path from Arria® 10 FPGAs and SoCs
-- Altera Enpirion power solutions offer maximum power efficiency and board
area savings
-- Intel 14 nm Tri-Gate process technology
Availability
Customers can get started on their Stratix 10 designs today using Fast Forward Compile performance evaluation tools. Engineering samples of Stratix 10 FPGAs and SoCs will be available in the fall of 2015. Embedded software developers can leverage SoC virtual platforms from Mentor Graphics to accelerate Stratix 10 SoC embedded software development. For more information about Stratix 10 FPGA and SoC products, contact a local Altera sales representative or visit http://www.altera.com/stratix10.
Forward Looking Statement
This press release contains forward-looking statements regarding Stratix 10 FPGAs and SoCs and the availability of engineering samples that are made pursuant to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Investors are cautioned that forward-looking statements involve risks and uncertainty that can cause actual results to differ from those currently anticipated, as discussed in Altera's Securities and Exchange Commission filings, copies of which are posted on Altera's website and are otherwise available from the company without charge.
About Altera
Altera® programmable solutions enable designers of electronic systems to rapidly and cost effectively innovate, differentiate and win in their markets. Altera offers FPGA, SoC, CPLD products, and complementary technologies, such as power solutions, to provide high-value solutions to customers worldwide. Visit Altera at http://www.altera.com.
ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at http://www.altera.com/legal.
Cypress Introduces New USB Type-C to HDMI/DVI/VGA Adapter Dongle Solution and Industry's Most Robust USB Type-C Development Kit
Programmable Solution Uses EZ-PD(TM) CCG1 USB Type-C Port Controller and USB Billboard Controller to Enable Emerging USB Type-C Products to Communicate with Older Products
SAN JOSE, Calif., June 8, 2015 /PRNewswire/ -- Cypress Semiconductor Corp. (NASDAQ: CY) today announced a complete silicon and software solution for USB Type-C to HDMI/DVI/VGA adapter dongles. The EZ-PD(TM) CCG1-based USB Type-C to HDMI/DVI/VGA Adapter solution enables connectivity between a USB Type-C receptacle and an HDMI/DVI/VGA plug, allowing emerging USB Type-C notebooks to connect to an HDMI/DVI/VGA display. Additionally, Cypress further expanded its USB-Type C design support with the new CY4501 CCG1 Development Kit (DVK), which enables designers to add USB Type-C to any system.
The Cypress USB Type-C to HDMI/DVI/VGA solution includes USB Billboard functionality, which allows the adapter to communicate status to a host that does not support DisplayPort (DP). This solution uses Cypress's EZ-PD CCG1 (CYPD1120) USB Type-C Port Controller to support DP as one of its Alternate Modes, Cypress's USB Billboard controller (CY7C65210) to support the USB Billboard Device Class, and MegaChips' DP to HDMI/DVI/VGA protocol convertor chip. Cypress has demonstrated that its USB Type-C to HDMI solution with power delivery (PD) is interoperable with the Apple(®) MacBook(®), the Google Chromebook Pixel and multiple other systems at USB-PD Interoperability events.
"With the rapid adoption of USB Type-C, the market is looking for fast ways to bring USB Type-C connectivity to products and for adapter dongles that enable connectivity with older products," said Ganesh Subramaniam, Senior Director of the USB product line at Cypress. "Cypress's CY4501 CCG1 Development Kit demonstrates the ability of our EZ-PD CCG1 controllers to serve as a total USB Type-C solution by packaging the host, client and cable boards in a single kit for unmatched ease of implementation. Likewise, we designed our complete EZ-PD CCG1-based USB Type-C to HDMI/DVI/VGA Adapter solution to help customers get their dongles to market quickly."
Cypress customers can pre-order the new CY4501 CCG1 DVK online from the Cypress store. Based on Cypress's EZ-PD CCG1 USB Type-C Port Controller, the kit serves as a platform to evaluate several features for USB Type-C with design examples and demonstrations of USB SuperSpeed, DisplayPort and Power Delivery. The CY4501 CCG1 DVK includes a CCG1 host board that emulates a tablet or a notebook, a CCG1 client board that emulates a monitor or a docking port, and a CCG1 Electronically Marked Cable Assembly (EMCA) board emulating a USB Type-C cable.
The USB Type-C standard is gaining rapid support with top-tier PC makers by enabling slim industrial designs, easy-to-use connectors and cables, the ability to transmit multiple protocols, and 100W power delivery (PD)--a significant improvement over the previous 7.5W standard. The USB Type-C standard's 2.4-mm-high connector plug is significantly smaller than current 4.5-mm USB Type-A standard connectors. It also allows for transport of USB signals and PCIe or DP signals on the same connector. A CCG1 product video, which demonstrates the transmission of DisplayPort signals over a USB Type-C connection, is available at http://www.cypress.com/ccg1video.
Availability
The CYPD1120 device is available in a 35-ball CSP and 40-pin QFN package, while the CY7C65210 device is available in a 24-pin QFN package. Both CYPD1120 and CY7C65210 are sampling now and will be in production in June 2015. The CY4501 CCG1 DVK is available for pre-order now for $499 and will begin shipping in June 2015. More information on Cypress's USB Type-C and USB power delivery solutions is available at http://www.cypress.com/Type-C.
Follow Cypress Online
-- Read our Core & Code technical magazine and blog.
-- Join the Cypress Developer Community.
-- Follow @CypressSemi on Twitter.
-- Visit us on Facebook and LinkedIn.
-- Watch Cypress videos on our Video Library or YouTube.
About Cypress
Cypress (NASDAQ: CY) delivers high-performance, high-quality solutions at the heart of today's most advanced embedded systems, from automotive, industrial and networking platforms to highly interactive consumer and mobile devices. With a broad, differentiated product portfolio that includes NOR flash memories, F-RAM(TM) and SRAM, Traveo(TM) microcontrollers, the industry's only PSoC(®) programmable system-on-chip solutions, analog and PMIC Power Management ICs, CapSense(®) capacitive touch-sensing controllers, and Wireless BLE Bluetooth(®) Low-Energy and USB connectivity solutions, Cypress is committed to providing its customers worldwide with consistent innovation, best-in-class support and exceptional system value. To learn more, go to http://www.cypress.com.
Cypress, the Cypress logo, PSoC and CapSense are registered trademarks and EZ-PD, F-RAM and Traveo are trademarks of Cypress Semiconductor Corp. All other trademarks are property of their owners.
Procera Networks Defines Broadband Subscriber Experience with ScoreCard
Solution measures subscriber experience and suggests investments to maximize CAPEX ROI
FREMONT, Calif., June 8, 2015 /PRNewswire/ -- Procera Networks, Inc., the global Subscriber Experience company, today announced ScoreCard, a new solution enabling improved visibility and measurement of the quality of experience (QoE) that operators' networks are capable of delivering to subscribers. The solution reports scores separated into application categories that matter the most to subscribers, providing actionable insights about where QoE issues occur in the network and where to target investments and actions for operators to achieve maximum impact on the subscriber experience, network performance and ROI.
ScoreCard measures activities such as web surfing, streaming video, social media, real-time gaming, uploads, downloads and voice applications and scores each of these seven application categories using letter grades from A to F, clearly showing what level of QoE the network can deliver. The solution offers different views to visualize QoE by subscriber tier, device or location for operators to fix bottlenecks and prioritize investments. It scores the network by isolating potentially problematic factors including applications, devices, cell towers, latency or throughput and creates value for customer care, marketing, engineering and executive management departments at fixed or mobile broadband providers.
"In most industries consumers have a choice to select the product or service that matches their desires and expectations," said James Brear, president and CEO at Procera. "However, data services on mobile or fixed networks are usually only rated by broadband speed which is an incomplete measurement of the subscriber's actual experience. We are changing that with ScoreCard by helping subscribers choose the right product and measure its quality while enabling operators to understand what matters most to their customers."
"Service providers cannot afford to continue simply throwing more bandwidth at the problem, especially in cases where bandwidth alone isn't the cause of the customer's poor experience," said Sheryl Kingstone, Director of Research at 451 Research. "They need to know what customers are doing and be able to collect and view information with the added context of what's happening with a specific application or location. With added context, service providers can make decisions regarding the use of technology, business processes and data required to boost revenue and optimize business performance to eliminate customer frustration across sales, service, engineering and marketing."
"To understand true network performance requires granular, real-time intelligence down to the packet layer," said Shira Levine, Research Director, Service Enablement and Subscriber Intelligence at Infonetics, a division of IHS. "Putting the right network performance and capable performance metrics in front of the right groups at the right time - including customers - could be a powerful differentiator in several ways as the battle for defining network quality in the age of on-demand services begins to really unfold."
"More than 15 years of focus on broadband service quality has taught us what network quality conditions impact the subscriber's experience specific to each application," said Alexander Haväng, Chief Technology Officer and co-founder of Procera. "Unlike speed tests and other performance rating services, ScoreCard measures all traffic for all subscribers all the time delivering data that is constantly relevant and actionable. ScoreCard enables various departments within service providers to isolate problems and address network shortcomings by delivering actionable insights."
Recently, Procera conducted its own Mobile Subscriber Experience Survey and received some expected as well as some surprising results. Of the respondents, 80 percent say it is critical to have high-speed coverage; however, 60 percent feel they don't have continuous high-speed coverage. 75 percent stream video on mobile devices several times per week with 80 percent expecting this to increase in the future and 70 percent rating it very important to have good quality video streaming; however, 90 percent experience video quality problems every day. Procera has created ScoreCard to help operators address these and many other subscriber concerns.
ScoreCard is an out-of-the-box ready solution for operators to deploy physically or virtually that visualizes scores by application, device, location, and subscriber tier. It gives operators the power to fix bottlenecks and make informed decisions about how to deliver an improved subscriber experience and manage subscriber expectations. Its simplified intelligence enables CAPEX prioritization for improved ROI to justify the cost of network upgrades and build the best network while also benchmarking performance for engineers and consumers to analyze, contrast and compare service levels. This means that operators can avoid over-engineering in the wrong areas, create a more accurate investment plan and clearly demonstrate the effectiveness of any improvements made.
About Procera Networks, Inc.
Procera Networks, Inc. (NASDAQ: PKT), the global Subscriber Experience company, is revolutionizing the way operators and vendors monitor, manage and monetize their network traffic. Elevate your business value and improve customer experience with Procera's sophisticated analytics solutions. For more information, visit http://www.proceranetworks.com or follow Procera on Twitter at @ProceraNetworks.
Press Contact
Mike Tomlinson, Engage PR for Procera Networks, 510-748-8200 x209, mtomlinson@engagepr.com
Investor Relations Contact
Michael Bishop, Blueshirt Group for Procera Networks, 415-217-4968, mike@blueshirtgroup.com
Stellar Data Recovery Inaugurates its Bhubaneswar Facility
NEW DELHI, June 8, 2015 /PRNewswire/ --
Stellar Data Recovery, one of the world's leading data recovery solutions providers,
today announced the opening of its new facility in Bhubaneswar, Odisha. After receiving
significant success in the Eastern region with its branch in Kolkata, Stellar has decided
to launch their second branch in Bhubaneswar to have a deeper penetration in the regional
market. This facility is their 15thin the country, making Stellar Data Recovery the only
organized player with global credentials to be present across more than 10 Indian cities.
"At Stellar Data Recovery, we believe that any service can only be of value if it can
be accessed easily by the consumers. As part of this vision and in order to expand our
regional presence, we have identified key markets to strengthen our industry dominance in
the country. Bhubaneswar is a key city with tremendous business potential, which would not
only strengthen our eastern India presence, but will also be key to capitalize on the
corporate businesses," said Mr. Sunil Chandna, CEO, Stellar Data Recovery.
The Bhubaneswar facility of Stellar Data Recovery is located at a convenient and
popular locality in IRC Village, Nayapalli and will cater to the data recovery and
sanitization needs of customers in the state. Being a global player, the company offers
industry best practices with zero compromise on 'consumer privacy' and 'security' of data.
This new facility will extend a reliable and secure data recovery service to all consumers
in the entire state. Stellar Data offers recovery from all kinds of crashed media like
USBs/external hard disks, pen drive, memory cards, NAS boxes, RAID servers, SD cards,
mobile phones, tablets etc.
Bhubaneswar Office Address:
Stellar Information Technology Pvt. Ltd.
1st Floor, Plot No. N5 / 536,
IRC Village, Nayapalli,
Bhubaneswar - 751015
Odisha, India
About Stellar Data Recovery
Stellar Data Recovery is an ISO 9001:2008 certified organization that specializes in
in-lab data recovery services, Phoenix data recovery tools and disk utilities. Established
in 1993 by first generation entrepreneurs, Stellar Data became leading data recovery
solution providers in the world, with more than 500 employees including 80+ research and
development experts. The company is present across three continents - North America,
Europe and Asia. The company has presence across 15 Indian cities (including Bhubaneswar,
recently) and has catered to over two million customers, including top corporate giants
from all over the world, since inception. For more information, visit http://www.stellarinfo.co.in
For further information, please contact:
Kavita Prasad
PR Executive
Fortuna Public Relations
+91-78382 40323
kavita@fortunapr.com
Sharadendu Tiwari
Stellar Information Technology Pvt Ltd.
+91-124-4326700
pr@stellarinfo.com
HomeAdvisor Announces First Apple Watch App for Digital Home Services Market
Free app instantly connects users with a flick of the wrist to trusted local professionals
GOLDEN, Colo., June 7, 2015 /PRNewswire/ -- HomeAdvisor announced today the development of the first local home services app for Apple Watch(TM). This app will be the first available Apple Watch app to help homeowners instantly connect to available local, screened, and rated home professionals for home repair and improvement projects. The HomeAdvisor Apple Watch app uses HomeAdvisor's Instant Connect technology to connect homeowners directly with a pre-screened home professional by phone to book an appointment or estimate.
To use the app, homeowners simply utilize Apple Watch voice dictation to request a professional and are instantly connected to a screened and rated home pro for more than 500 types of home projects. Homeowners can view the professional's ratings and reviews while talking to the professional about their project. Professionals in the HomeAdvisor network are background-screened and customer-rated and reviewed.
"The app builds on the convenience of Apple Watch to instantly connect to the pros in the HomeAdvisor network," said HomeAdvisor's CEO Chris Terrill. "Our Instant Connect technology uses an algorithm based on the user's request and the professional's availability as well as historical activity to create the highest possible connection rate."
Current features of HomeAdvisor's Apple Watch app:
-- Connects homeowners with a professional by phone in under a minute by
using a simple two-step process. Homeowners indicate what type of
professional they need, and then the app uses HomeAdvisor's Instant
Connect technology to connect the two on the phone.
-- Helps homeowners save time and alleviate stress by facilitating an easy
connection with qualified professionals. The connection rate for
HomeAdvisor's Instant Connect technology averages 82 percent.
-- The homeowner's customer profile and project request are factored in to
connect to the best available home professional for the job. Apple Watch
currently defaults to a static Yelp Directory based on their user's
location for finding local home professionals, which doesn't take into
account a professional's availability and the homeowner's specific
project details.
HomeAdvisor's app for Apple Watch is part of HomeAdvisor's suite of free tools and resources to help homeowners complete home repair, maintenance, and improvement projects. HomeAdvisor's ProFinder technology matches homeowners to the company's network of screened pros, True Cost Guide provides the average price of home projects based on real homeowner data, and Instant Booking allows homeowners to schedule appointments online prior to seeing pricing information.
The app will release later in 2015. For more information about HomeAdvisor, visit HomeAdvisor.com.
About HomeAdvisor
HomeAdvisor.com is a local home services marketplace providing homeowners the tools and resources for home repair, maintenance, and improvement projects. HomeAdvisor's marketplace lets homeowners view average project costs coast-to-coast, find local pre-screened home professionals, and instantly book appointments online. Access to all of HomeAdvisor's resources is free for homeowners, with no membership or fees required. HomeAdvisor is based in Golden, Colo., and is an operating business of IAC (NASDAQ: IACI).
Smokers the Secret to a Longer Life PuffPuff.Club E-Cigarettes and E-Liquids Leads the Way in the UK
BRISTOL, England, June 7, 2015 /PRNewswire/ --
PuffPuff.club, UK's leading membership site delivering a new alternative to smoking
with high-quality e-liquids and e-cigarettes monthly to members' homes in the UK.
PuffPuff.club launched with substantial media coverage and is attracting a lot of
interest from the 10 million UK smokers and 2 million Vapers.
http://www.PuffPuff.club was founded by Mike Robinson and Jamie Hancock after they
noticed a number of international companies take up a subscription based format. "Basing
the business model around similar formats such as Netflix, Graze etc. they saw an
opportunity with e-liquids and decided to launch the club in Bristol in May 2015. Mike
Robinson said; "With the growth and awareness of vaping e-liquids and e-cigarettes and the
history Bristol has with tobacco production there's a real excitement about future growth
of reducing tobacco smoking which the internet will give our e-liquid club."
Customers visit the PuffPuff.club website and choose one of four e-liquid boxes which
can include nicotine. Vapers who subscribe then pick their flavour or strength of nicotine
if required.
ASH's (action on smoking and health) survey also asked e-cigarette users for the main
reason why they used an e-cig, for ex-smokers it was because 'they helped me to stop
smoking entirely', 71% of former smokers chose this option. For current smokers, 48% used
e-cigarettes to 'help reduce the amount of tobacco, with another 37% choosing 'to save
money compared with smoking tobacco'.
Jamie Hancock said "With e-liquids you get no tar, no ash, no carbon monoxide and no
second-hand smoke, it's much safer for the smoker and the no-smoking community and it's so
much cheaper."
About PuffPuff.club
PuffPuff.club is a UK online subscription service and manufacturer of quality
e-liquids in Bristol. Each month a PuffPuff box is delivered directly to members homes.
Pufflite 2 x bottles GBP4.99 +pp PuffPuff 4 x bottles GBP8.99 free delivery PuffPuff+
4 x bottles + e-cigarette first month and Cleanomizer every other month and battery with
free delivery PuffExtra 8 x bottles + e-cig free delivery GBP19.99PuffExtra 8 x bottles +
e-cigarette free delivery GBP19.99.
Notes to editors:
Mike Robinson & Jamie Hancock are two entrepreneurs and friends from Bristol who are
following a manufacturer's path as did pacemakers W.D & H.O Wills. Photos and images can
be obtained at: http://www.puffpuff.club/press
An Early Warning Can Make All The Difference In An Emergency Situation: Be Prepared With Midland® Weather Alert Radios
Keep Yourself and Your Loved Ones Safe During Severe Weather Conditions
KANSAS CITY, Mo., June 5, 2015 /PRNewswire/ -- Severe weather season is upon us, and this means everything from tornados to severe thunderstorms bringing damaging winds, erosion and flooding are most likely brewing. Luckily, an early warning is available and can make all the difference when faced with these emergency situations. Be ready this year, and every year with Midland Radio Corporation's WR120 Weather Alert Radio and HH50 Pocket Weather Alert Radio. FEMA, the National Weather Service and the American Red Cross all agree, rating an NOAA weather alert radio as the number one item to have on each of their respective emergency preparedness lists. As the leader in the weather alert category, Midland's superior radios will deliver the information and emergency warnings you need, when you need it.
Recommended by several organizations including the Department of Homeland Security for emergency preparedness, a weather alert radio belongs in your emergency kit, period. It's a fact that alert radios have saved countless lives. Days, hours, and sometimes even minutes of warning can make an impact on survival. Midland developers understand this and have created equipment to keep you well informed no matter where you are. Both the Midland WR120 Weather Alert Radio and HH50 Pocket Weather Alert Radio transmit instant weather, direct from the National Weather Service and Environment Canada, giving you the most accurate and timely news.
The Midland WR120 Weather Alert Radio is the Company's most popular radio and the #1 selling NOAA Weather Alert Radio in the world. Featuring S.A.M.E. localized programming - which allows the radio to be programmed to receive alerts and warnings for specific local areas - the radio alerts you to more than 60 kinds of hazards and emergencies. The device can be programmed to include up to 25 different counties and has color-coded alert indicators. An alert override automatically switches over to warn you of impending danger, and a continuous backlighting option keeps the LCD screen on.
A number of additional features make the Midland WR120 Weather Alert Radio easy to use and highly practical and functional. Silent programming and a variety of warning system options including voice, display or tone alert types allow you use the radio to fit your needs. The radio also has an alarm clock with snooze capabilities and can function even if the electricity is out, using the alternative power source of 3 AA alkaline batteries (not included) as a backup. The low profile and streamlined WR120 Weather Alert Radio measures 6.1" x 2.0" x 5.3".
The Midland HH50 Pocket Weather Alert Radio is an ideal companion when on the go. The slim and compact radio scans all NOAA weather channels and easily fits into a pocket, purse or backpack. With seven pre-set weather channels and a 6-inch telescopic antenna, you can depend on the Pocket Weather Alert Radio to deliver crystal clear reception. The pocket radio is built tough and durable and has auto scan capabilities, which works to search for weather channels upon startup of the device. The Midland HH50 Pocket Weather Alert Radio is powered by three AAA alkaline batteries (not included) and measures a mere 1.8" x 5.6" x 0.8".
The Midland WR120 Weather Alert Radio MSRP is $49.99. The HH50 Pocket Weather Alert Radio MSRP is $24.99.
In 2014, Midland was the pioneering partner and first member of the Weather-Ready Nation Ambassadors(TM )program, designed by NOAA (National Oceanic and Atmospheric Administration) to recognize companies who work to improve the nation's readiness, responsiveness and overall resilience against extreme weather, water and climate events. After Midland became the very first partner, more than 1,300 other companies have joined the organization.
From the brand's inception in 1959, Midland Radio Corporation has been a pioneer in two-way radio technology -- the first brand of CB radios in the United States and the first to introduce a 14-channel FRS radio to the market. Today, the company is the leading supplier of consumer two-way radios as well as weather alert radios, and is also an innovator in emergency radios, action cameras, Bluetooth intercoms and its legendary CB Radios. Headquartered in Kansas City, the heart of the country, the Midland brand represents quality, value and reliability --everything America prides itself on. With its products sold in leading consumer electronics, sporting goods and many other retailers nationwide, the company is the U.S. affiliate of an international group of companies spanning North America, Europe and Asia. midlandusa.com.
Facebook
Twitter
YouTube
Pinterest
SOURCE Midland Radio Corporation
Midland Radio Corporation
CONTACT: Jonina Costello, jcostello@full-throttlecom.com, Jason Bear, jbear@full-throttlecom.com, Phone: (805) 529-3700
Alcohoot Launches 'Intrepid' at the 2015 Sprint Techstars Mobile Health Accelerator
Techstars-backed smartphone breathalyzer demos at second annual Mobile Health Accelerator in Kansas City; showcases connected law enforcement and fleet management breathalyzer system
KANSAS CITY, Mo., June 5, 2015 /PRNewswire/ -- Alcohoot, a Techstars-backed startup creating a police-grade smartphone breathalyzer has announced the launch of an innovative connected police breathalyzer system, Intrepid. Alcohoot CEO Chris Ayala showcased the company's newest vision on stage last night during Demo Day at the Kauffman Center for the Performing Arts in Kansas City.
Alcohoot joins the nine other startups that make up the 2015 Sprint Mobile Health Accelerator, showcasing solutions that encompass hardware, software platforms and mobile applications. Alcohoot's vision is that Human Condition monitoring is the next advancement in mobile technology, using non-invasive methods to accurately test and track a person's condition and collect data over time.
Over the next few months, the company plans to continue consumer retail sales through their international retailers and distributors, as well as expand their technology into business to business markets to ensure they're offering the most innovative technology to law enforcement and fleet management.
Alcohoot's Intrepid launch builds on recent milestones such as:
-- Growth to over 10,000 global users
-- Strategic partnerships with innovative ride-share services including
zTrip, DShofer and Hansom
-- 9 pilot programs already up and running across law enforcement and fleet
management, including the Kansas City Police Department as pilot program
and technical advisor
"We are honored and excited to be a part of the 2015 Class of the Sprint Techstars Mobile Health Accelerator," says Christopher Ayala, CEO of Alcohoot. "It's a pleasure to showcase the launch of Intrepid with such prestigious influencers associated with TechStars and the Kansas City business community."
About Alcohoot:
Alcohoot is a Techstars company based in New York City developing innovative hardware and software solutions to enable human condition monitoring to accurately test and track a person's condition and collect data through non-invasive technologies. Its premier product, Alcohoot, is the world's first smartphone breathalyzer using a police grade, FDA-registered fuel cell sensor that accurately measures a user's blood alcohol content through iOS and Android apps. Using Alcohoot enhances a user's understanding of their body's reaction to alcohol consumption encouraging smarter, more responsible decisions.
Warner Bros. Consumer Products Soars Into Licensing Expo 2015 With Unprecedented Content Support For Entertainment Licensing Portfolio And Global Initiatives
Super Heroic Support for Feature Film Slate, Anchored by the Highly Anticipated Batman v Superman: Dawn of Justice
From J.K. Rowling's Wizarding World Comes the Eagerly Awaited Fantastic Beasts and Where to Find Them
WBCP Broad Licensing Programs Support DC Entertainment's Extensive Content, Including Batman, DC Super Hero Girls, DC Super Friends, DC Comics TV Portfolio and More, for Boys, Girls, Preschoolers, Teens and Adults
WBCP's Robust Portfolio Includes Film, Television, Animation, Games and Classics
BURBANK, Calif., June 5, 2015 /PRNewswire/ -- With a powerhouse portfolio of entertainment properties--anchored by a theatrical slate of tentpole films, a fan-driven TV portfolio, global initiatives with immersive worlds, all-new animated content developed for younger fans, and a diverse lineup of pop-classic favorites--Warner Bros. Consumer Products (WBCP) soars into Licensing Expo 2015 with an unrivaled lineup of content support and partners that will drive its licensing, merchandising and retail business in 2016 and beyond.
"WBCP arrives at Licensing Expo with an unmatched portfolio of content and product offerings, led by the most anticipated feature film events of 2016--DC Comics' Batman v Superman: Dawn of Justice, the first time fans will see Batman and Superman together in a theatrical event; DC Comics' Suicide Squad, the first-ever theatrical team up of some of the most iconic Super-Villains; and from J.K. Rowling's Wizarding World, Fantastic Beasts and Where to Find Them," said Brad Globe, President of Warner Bros. Consumer Products. "Content is the cornerstone of our robust programs, and we have an unparalleled lineup of collaborations and partnerships, which is a testament to the trusted relationships we have built with all of our licensing and retail partners."
Batman and Superman - First Time Ever Together in a Theatrical Event!
For the first time ever, fans will see Batman and Superman together in the theatrical event of the year in Warner Bros. Pictures' Batman v Superman: Dawn of Justice, and WBCP stands tall at Licensing Expo with a powerhouse roster of global partners to support as Batman and Superman fly into theaters on March 25, 2016. WBCP promises to deliver on fan anticipation and licensing opportunities as this heroic theatrical event continues to build momentum through its licensing and merchandising programs around the world. At the same time, the tentpole film will help drive the Batman and Superman franchises to new heights, offering licensees and retailers new opportunities to reach fans of all ages.
Batman v Superman: Dawn of Justice will be supported by an impressive list of licensees, including global toy partner, Mattel, with a wide range toy line, including action figures, role-play, playsets and collectible figures, highlighted by the fan-favorite Movie Masters line. Also supporting Batman v Superman: Dawn of Justice will be The LEGO Group, global construction partner; Rubie's Costume Co. with a wide range of costume products based on the entire DC Comics portfolio, including Batman v Superman: Dawn of Justice; and Thinkway Toys for role play items. Additionally, apparel and accessories collections from Junkfood and Bioworld, and footwear from Converse, with a graphically unique inspired line for Batman v Superman: Dawn of Justice; among many others will support the film.
DC Comics' Super-Villains Team Up for First Time in Theatrical Film:
As the power of Warner Bros. Pictures' and DC Entertainment's film portfolio shows its strength, WBCP will also offer a targeted licensing and merchandising program focusing on collectors and adult fans in support of Suicide Squad, the first film to feature many of DC Comics' iconic Super-Villains as a team, including Deadshot, Harley Quinn, Killer Croc, Boomerang, Katana and The Joker, as the second theatrical release from the Studio's DC Comics film slate rolls out.
From J.K. Rowling's Wizarding World:
The wizarding world continues to delight audiences with Fantastic Beasts and Where to Find Them, J.K. Rowling's screenwriting debut, which marks an expanded creative partnership between Warner Bros. Entertainment and J.K. Rowling, and which will be fully supported by WBCP to offer fans an exciting licensing and merchandising program. Fantastic Beasts and Where to Find Them, the first in a new film series from J.K. Rowling's Wizarding World, is inspired by Hogwarts textbook Fantastic Beasts and Where to Find Them, and the adventures of the book's fictitious author, Newt Scamander.
"We are incredibly excited to further explore and dig deeper into our already strong portfolio with an eye towards content creation, allowing us to offer initiatives for girls, boys and preschoolers on a global scale," said Karen McTier, Executive Vice President, Warner Bros. Consumer Products. "With programs like DC Super Hero Girls, Batman Unlimited, DC Super Friends and more, WBCP is creating new content and building impactful activations with partners around the world."
DC Super Hero Girls Empowers Girls to True Potential:
In a revolutionary partnership, WBCP, DC Entertainment (DCE), Warner Bros. Animation (WBA) and Mattel have joined forces to launch the DC Super Hero Girls franchise, an exciting new universe of Super Heroic storytelling that will help build character and confidence, and empower girls to discover their true potential. Developed for girls aged 6-12, DC Super Hero Girls stars the female Super Heroes and Super-Villains of DC Comics during their formative years.
DC Super Hero Girls will take the girls space by storm when it launches this fall as it plays out across multiple entertainment content platforms and product categories to create an immersive world. Featuring a completely new artistic style and aesthetic, this unprecedented initiative will include digital content and TV specials, while made-for-videos, publishing, toys, apparel and other products will begin to roll out in 2016, providing opportunities for girls to interact with characters, learn about the storylines, and engage in customizable play.
The LEGO Group will also be key to building the DC Super Hero Girls franchise, leveraging their experience and success engaging girls in creative construction play to bolster this universe through an array of LEGO building sets designed to inspire girls' imaginations.
The Random House Books for Young Readers imprint of Random House Children's Books has been appointed the master publishing partner for the franchise and offers a portfolio of books that will bring the DC Super Hero Girls world to life. Global partner Rubie's Costumes will also offer a wide range of costumes based on the various characters. Additionally, consumer products partners around the world will be engaged in creating a merchandise line dedicated to DC Super Hero Girls across all key categories.
All-New Adventures with Batman Unlimited and DC Super Friends:
As the DC Comics universe expands, WBCP, DCE and WBA offer boys and preschoolers all-new content inspired by two of the company's most successful toy lines developed in partnership with Mattel and Fisher-Price: Batman Unlimited and DC Super Friends, respectively. Bringing dimension to the action figures and playsets available in toy aisles globally, each program will engage millions of young fans around the world like never before.
Batman Unlimited debuted content in May as a made-for-video animated movie entitled Batman Unlimited: Animal Instincts from Warner Bros. Home Entertainment, with the sequel Batman Unlimited: Monstery Mayhem set to release in the second half of 2015. Adding to the new Batman Unlimited content, Warner Bros. has started to roll out the 22 stand-alone, two-minute shorts featuring additional story elements along with companion apps designed for young fans to extend and customize their experience in a new interactive way. Rubie's Costumes will support the new original content with a line of inspired-by dress-up and costumes, and toymaker Thinkway will introduce new Batman Unlimited-themed role-play accessories. Batman Unlimited will have products in other key categories, such as apparel and publishing, to be announced at a later time.
DC Super Friends content, inspired by the Fisher-Price Imaginext toy line, also introduces a series of shorts. Exciting new playset environments from the successful product line are featured in the shorts, such as The Joker Laff Factory as well as a new design of the fan-favorite Batcave, all featuring the interactive Imaginext Power Pad that enables kids to activate key features in the toy. Publishing partner Random House Children's Books will also continue to extend storytelling by publishing new titles. Additional licensees in other key categories and will be announced in the coming months
DC TV Portfolio Takes New Heights:
Additionally, WBCP will highlight its rich primetime TV licensing portfolio based on DC Entertainment's pantheon of characters across DC Comics and Vertigo. From Warner Bros. Television (WBTV) and DCE, new DC Comics-based series Supergirl and DC's Legends of Tomorrow, and returning hits Arrow, The Flash and Gotham, along with Vertigo-based new series Lucifer and returning series iZOMBIE, will continue to inspire an array of new products from licensing partners in every category as WBCP brings fans closer to their favorite WBTV hits.
Zoinks! Scooby-Doo is Building Excitement:
Everyone's favorite canine, Scooby-Doo, is building more excitement at retail with licensing support from The LEGO Group, bringing Scooby-Doo, Shaggy and the Gang to life in LEGO form for the first time ever, in five all-new building sets and in never-before-seen animated content, featuring the zaniness of the classic characters in true LEGO form. Later this year, fans can look forward to a 22-minute LEGO special, featuring Scooby-Doo, and produced in partnership with WBA. In addition, WBA will also be producing made-for-video movie titles, which will be released by Warner Bros. Home Entertainment in the coming years.
Scooby-Doo and the Gang are rollin' up in the Mystery Machine for the upcoming new animated comedy Be Cool Scooby-Doo! as WBCP will continue to offer licensing opportunities for the Scooby-Doo brand and groovy opportunities to new and returning partners, including global master toy licensee Character Group, Kellogg, General Mills, Rubie's Costumes, Scholastic and Hallmark, among others.
Classic Animated Favorites Just Keep Getting Better:
Beloved classic animation properties such as Looney Tunes will continue to drive new trends with hot new partnerships, such as the return of the fan-favorite collaboration, Hare Jordan, to high-end fashion collections including haute couture apparel and accessories with globally renowned label Moschino, by designer Jeremy Scott; and Tom and Jerry with PUMA. Also as part of WBCP's classic animation offerings comes Wabbit - A Looney Tunes Production and The Tom and Jerry Show, from WBA, with all being supported by top-tier licensees.
TV Properties Continue to Win Over Audiences:
Network television's most-watched comedy among Adults 18-49 and #1 entertainment series among Total Viewers, WBTV's The Big Bang Theory continues to draw support from licensing partners with new product leading into this year's Licensing Expo, including fan-favorites Ripple Junction, Funko, Bioworld, USAopoly, Hallmark and Bif Bang Pow!, among many others. WBCP is also excited to work with The LEGO Group to indulge The Big Bang Theory fans' inner genius and bring them the LEGO version of Leonard and Sheldon's living room as seen in the hit series. Created by two LEGO fan designers and selected by LEGO Ideas members, this limited edition set features minifigures of all seven main characters from the show--Leonard, Sheldon, Penny, Howard, Raj, Amy and Bernadette--with assorted accessory elements and authentic detail.
WBCP will also continue to highlight its current and classic hit television properties such as Warner Bros. Television's Supernatural and The Vampire Diaries, as well as Warner Horizon Television's Pretty Little Liars and The Voice, in addition to perennial hits such as Friends, Seinfeld, and many more with continued cross-category support at retail as part of its television licensing entertainment portfolio. Adding to the diverse licensing show lineup, WBCP will also introduce the summer event game show 500 Questions and the new 2015-2016 season series, including Rush Hour, Blindspot, Containment and Heads Up!
WBCP Offers Immersive Experiences For Young Fans:
WBCP and DCE have teamed up to launch all-new online entertainment destinations for kids, ScoobyDoo.com, LooneyTunes.com and DCKids.com, engaging young fans with their favorite Warner Bros. characters and DC Comics Super Heroes in immersive experiences, featuring original content. In addition, these websites feature components such as videos, downloadable activities, games, quizzes and contests, offering kids the opportunity to stay connected to these dynamic and immersive worlds 24/7. An international market roll-out in Australia, Brazil, France, Germany, Italy, Mexico, Portugal, Russia, Spain and the UK is planned for the coming months.
Video Games Bring Worlds to Life:
WBCP is excited to offer enthusiasts many of their favorite collectibles, apparel and more, in niche licensing programs that allow them to show-off their fandom and support for some of the most popular video games in the industry. This year, WBCP offers licensing opportunities for the upcoming LEGO Dimensions game as WBCP, on behalf of Warner Bros. Interactive Entertainment (WBIE), partners with The LEGO Group for a game-inspired product line to bring fans of all ages many of their favorite characters and worlds, including DC Comics Super Heroes, The Lord of the Rings Trilogy and The Hobbit Trilogy, The Wizard of Oz and Scooby-Doo, among many others. Additional video game offerings include the much-anticipated Batman: Arkham Knight game, from WBIE and Rocksteady Studios, releasing on June 23; and Mortal Kombat X, from WBIE and NetherRealm Studios, already a hit among fans.
Worldwide Reach of Global Themed Entertainment:
Warner Bros.' global themed entertainment offerings continue to expand worldwide bringing the Warner Bros. experience to theme parks, international cultural venues, live stages and more. In July of 2014, The Wizarding World of Harry Potter expanded globally, opening at Universal Studios Japan in Osaka, and domestically with the opening of The Wizarding World of Harry Potter - Diagon Alley, the highly anticipated expansion at Universal Orlando Resort, creating the world's first centrally themed, multi-park experience and expanding The Wizarding World of Harry Potter across both Universal Orlando theme parks. Additionally, the countdown has begun for the Spring 2016 opening of The Wizarding World of Harry Potter at Universal Studios Hollywood.
Harry Potter: The Exhibition, currently in Paris and with more stops planned for 2015 and beyond, continues to bring the Harry Potter experience to fans with a state-of-the-art interactive, travelling exhibition featuring thousands of props, costumes and magical creatures from the Warner Bros. films based on J.K. Rowling's beloved book series.
WBCP also currently manages a successful theme park portfolio with partners around the world, including Warner Bros.-inspired theme parks in Australia and Spain, Six Flags theme parks throughout North America, and Hopi Hari in Brazil, all immersing fans in the world of DC Comics Super Heroes, Looney Tunes characters and more.
Located at Booth #N139, WBCP is one of the largest exhibitors at this year's show.
Press materials are also located at: wbcpmedia.warnerbros.com.
About Warner Bros. Consumer Products:
Warner Bros. Consumer Products, a Warner Bros. Entertainment Company, is one of the leading licensing and retail merchandising organizations in the world.
About DC Entertainment
DC Entertainment, home to iconic brands DC Comics (Superman, Batman, Green Lantern, Wonder Woman, The Flash), Vertigo (Sandman, Fables) and MAD, is the creative division charged with strategically integrating its content across Warner Bros. Entertainment and Time Warner. DC Entertainment works in concert with many key Warner Bros. divisions to unleash its stories and characters across all media, including but not limited to film, television, consumer products, home entertainment and interactive games. Publishing thousands of comic books, graphic novels and magazines each year, DC Entertainment one of the largest English-language publishers of comics in the world.
Integral Memory Launches FUSION USB Type-C, a Flash Drive Designed for an Increasingly Mobile Future
LONDON, June 5, 2015 /PRNewswire/ --
Integral Memory has announced the launch of its first flash drive featuring a USB
Type-C(TM) connector which is the answer to an increasingly mobile world.
The Fusion USB Type-C Flash Drive provides an instant data storage device with
ultimate compatibility between smartphones, tablets, PCs and Macs. In future the Type-C
connector will simplify all formats into one universal interface that will carry both data
and power between devices at higher speeds.
"The Fusion USB Type-C is the first generation of a new age of connectivity that
answers the demands of a multi-device world. It is well-timed to answer the demands of a
data hungry age, where higher resolution media and more complex apps demand increased
speed and compatibility between the workplace and the home," commented Francesco
Rivieccio, Senior Product Manager at Integral Memory plc.
The new flash drive range features a 'USB Type-C' connector; the first reversible USB
interface for a 'no wrong way round' fit, when attaching to a device. It is an attribute
that has already won the approval of many users. The Fusion USB Type-C also offers a handy
dual interface; USB Type-A connector for all current 2.0 and 3.0 ports found in millions
of devices, alongside the new future-proof USB Type-C connector, allowing for a seamless
transition between existing and future hardware.
The Integral Fusion USB Type-C range provides data storage of up to 64GB, delivered in
a compact, low-profile, zinc alloy casing.
Integral's first USB Type-C drive will support USB 3.1 Gen 1 5Gbit/sec bus speeds,
followed in the future by USB 3.1 Gen 2 bus speeds of 10Gbit/sec.
The Fusion Type-C range is available Q3 2015 and prices are anticipated to be
comparable to current USB flash drives.
About Integral Memory
Integral was founded in London, England during 1989 and quickly became one of Europe's
leading DRAM specialists. Over the next two decades Integral expanded its product range as
the solid-state flash memory market matured. Integral has long-term partnerships with
leading component suppliers including Toshiba, Samsung and Hynix. International sales
offices have been established in France, Spain and the Netherlands.
Integral's passion is to deliver the latest memory products built on quality,
reliability and great prices.
CONTACT: Press Contact Stephen Thomas, Integral Memory plc, Unit 6 Iron Bridge Close, Iron Bridge Business Park, London, NW10 0UF, United Kingdom, Tel: +44-(0)208-144-1399, Email: steve@tribeconsult.co.uk
Mentor Graphics Enterprise Verification Platform Delivers New Levels of Performance and Low Power Verification Productivity
WILSONVILLE, Ore., June 5, 2015 /PRNewswire/ --
Highlights:
-- Questa(®) Simulation regression test speeds improved by up to 4X
-- Questa Simulation with Visualizer(TM) Debug Environment 2-5X faster and
smaller
-- Questa Verification Management coverage data collection up to 10X faster
-- Questa Formal Apps increased performance by up to 8X
-- Questa Power Aware introduces leading support for UPF 2.1
Mentor Graphics Corporation (NASDAQ: MENT) today announced enhancements to the Mentor(®) Enterprise Verification Platform (EVP) that offer new levels of performance and productivity across the platform in simulation, debug, formal, coverage closure and low power verification.
PERFORMANCE
The cornerstone of the Mentor EVP, the Questa Simulation engine, now runs up to 4X faster by improvements in both raw VHDL/Verilog performance and incremental flows, coupled with a new checkpoint/restore/modify/run flow which saves hours on each long simulation that can share a common "setup" time before unique stimulus is applied. The Questa Simulation engine has also been enhanced with new native Questa fast logging technology which enables debug mode simulations to run 2-4X faster and require up to 3X less memory. It deploys "smart" reconstruction techniques when coupled with the Visualizer Debug Environment, which also significantly reduces debug file sizes. The combination of Questa Simulation and Visualizer Debug provides users the fastest debug turnaround times available on the market today.
"After just a few months of use, Visualizer has led to dramatically improved verification performance," said Ramesh Shanmugam, Pixelworks senior manager of verification and methodology. "This is largely because the way it's used -- for post-processing analysis of simulation data -- is a much more efficient, higher-throughput means of debugging than using a simulator in interactive mode."
In coverage-driven verification flows, often the time it takes to merge coverage results from hundreds if not thousands of individual tests can be a major verification performance bottleneck. The Questa Verification Management now collects and analyzes coverage data up to 10X faster with enhanced merging and ranking technology. It quickly generates a unified coverage database (UCDB) that can be accessed by the Accellera UCIS standard interchange format, enabling users to quickly assess their current quality of verification and reduce their coverage closure time.
The Questa Formal engine, now up to 8X faster, speeds up verification with its increasing number of formal apps such as clock domain crossing (CDC), property checking, X-state analysis, connectivity checking, and security checking. The Questa Formal works seamlessly with and perfectly complements the Questa Simulation such that the performance improvements to both engines deliver faster overall time to results, and enables customers to pull in their verification schedules and improve end-product quality.
LOW POWER
The Questa Power Aware Simulation, the fastest native UPF/RTL simulator, announces its first-to-market support of IEEE 1801 UPF 2.1. The Questa Power Aware includes automatic static and dynamic low power UPF checks that help user quickly verify that their UPF-derived power management structures and behaviors are correct, and automatic UPF-driven coverage and testplan generation that will help users understand and track exactly what is needed for complete low power coverage closure. The Questa Power Aware can also now generate functionally-equivalent UPF 1.0 from UPF 2.1 in order to support UPF-based flows with downstream tools that do not yet fully support UPF2.1 enabling users to take advantage of more productive low power verification methodologies.
For power-aware debug, the Visualizer Debug Environment provides a complete set of windows that enable users to see all UPF-generated structures; power domains, source/sync crossings, isolation, shifters, etc. plus any UPF violations and waveforms of corruptions in one tool. Its unified schematic views and highlights of UPF instrumentations directly in the RTL source help verification engineers easily understand and explore the power management structures within the context of the RTL design.
"Our customers demand high-performance verification engines that achieve the fastest results in all facets of the verification flow: regression testing, debug and coverage," said John Lenyo, vice president and general manager, Design Verification Technology Division, Mentor Graphics. "The Mentor Enterprise Verification platform delivers best-in-class performance, productivity and low power analysis in a single, integrated verification platform."
The latest simulation performance, productivity and low power verification gains are available in the Questa 10.4 release that is available immediately.
About Mentor Graphics
Mentor Graphics Corporation is a world leader in electronic hardware and software design solutions, providing products, consulting services and award-winning support for the world's most successful electronic, semiconductor and systems companies. Established in 1981, the company reported revenues in the last fiscal year in excess of $1.24 billion. Corporate headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentor.com/.
Mentor Graphics, Mentor and Questa are registered trademarks and Visualizer is a trademark of Mentor Graphics Corporation. All other company or product names are the registered trademarks or trademarks of their respective owners.
Huawei Honor Launched Honor Devices With the RCB Team
MUMBAI, June 5, 2015 /PRNewswire/ --
- Targets 10 Times Sales Growth in India in 2015
- Honor 4C Offers an Unbounded Visual Impact due to its Ultra Slim Frame Design,
Features a Huawei 64-bit Kirin 620, Octa-Core Processor, 5.0 HD IPS Display, With 13M BSI
Main Camera and a 5M Pixels Front Camera, 2GB RAM and a 2550mAh Large Battery Which Offers
a 80 Hours Battery Standby Time
- Honor Bee Offers a Simple Weave Meticulous Design Which is Scratch-less, Features a
Quad-core 1.2GHZ Processor, 1730mAh Battery With Power Saving Technology; Offers 8M Back
and 2M Pixels Front Camera and Comes in 2 Vibrant and Bright Colors
- Honor AP007 Offers High Quality Circuit and Professional Architectural Design,
Features a 13000mAh Metal Case Body With a Universal Dual USB Port
Honor, Huawei's Smartphone e-brand for digital natives, announced the expansion of
Honor's offering with the launch of two exciting new smartphones - Honor 4C and Honor Bee
and a Power Bank AP007, which would be sold exclusively through online partner
Flipkart.com. The products were launched by RCB players in the presence of Mr. Allen Wang,
President Consumer Business Group, Huawei and Honor India.
The company has in the past received an overwhelming response from Indian consumers
for its previously launched smartphones Honor 4X and Honor 6 Plus. Owing to this consumer
loyalty and feedback; Honor has decided to expand its offering with Honor 4C, Honor Bee
and Power Bank AP007. Honor announced that the Honor 4C would be available at a
competitive price of Rs. 8,999 offering a stiff competition in the mid-end segment;
whereas Honor Bee will be priced at Rs. 4,999. The Honor Power Bank AP007 will be
available at an attractive price of Rs. 1,399.
According to Mr. Allen Wang, President of Consumer Business Group, Huawei & Honor
India, "We are very excited with the launch of our two new powerful smartphones 4C and Bee
along with a Power Bank AP700 in India. We have globally launched Honor Bee and we are
confident that it will be a strong performer in the lower mid-level segment. The Honor 4C
with faster speed, best quality and beautiful design will be an excellent choice for
consumers in the mid segment market in India. The Power Bank AP007 will successfully
address the power needs of today's always-on-the-move generation. We are thankful to our
customers for their continued support and for their overwhelming response on Honor 4X and
Honor 6 Plus. We will strive to offer best in tech innovations to match the discerning
needs of our consumers and our design philosophy is based on three basic characteristics:
best camera, long battery life and remarkable craftsmanship."
Honor is bullish on its India growth and is looking at investing 10 million dollars
for Honor India and out of this the majority will be on brand building and promotion. The
brand has clocked sales of over 20 million Honor phones in 2014, accounting for a global
revenue of about 2.4 billion USD with a 24 times increase. The company has expanded
aggressively in global markets and successfully launched in 57 global markets within 6
months in 2014. Honor entered the bestselling charts in most of the European countries
that it forayed and aims to achieve 4 times sales growth in Honor overseas.
Honor 4C packs an impressive hardware in a handy form factor with a well-designed
built. It has an ultra slim frame design which brings an unbounded visual impact to eyes
and a solid gripping experience. The phone packs a 5-inch HD IPS Display having 1280x720
pixels with 76% visual screen to body ratio. Carrying forward Honor's camera expertise, it
houses a 13 megapixel rear camera with Smart Image 2.5 technology that churns out
outstanding imaging both in day and night. Selfies come very easy with its 5 megapixel
front camera that also allows you to capture panoramic selfies. Boasting 2550 mAh battery,
Honor 4C delivers enough juice to let you use its features and applications without
worrying about the discharge. It runs on Android 4.4 (Kitkat) with Emotion UI 3.0 on top
and the system offers a more intuitive, simple, clear and stylish design.
Honor Bee is Honor's latest price competitive offering which sports a simple wove
meticulous design. The screen size is best for one hand handle at 4.5 inch with FWVGA
resolution and high screen ratio of 16:9. On the imaging front, it structures an 8
megapixel rear camera with DUAL LED flash and 2 megapixel front camera. The Dual LED flash
enhances low light photography conditions and helps the camera to capture fine details.
The camera also brings in Honor smartphones' signature camera applications likes snap
shoot, smile shoot and panoramic selfies. Its 1 GB RAM satisfies the daily multimedia
requirements and the Quad core chipset easily balances the work load. It runs on Android
4.4 (Kitkat) with Emotion UI 3.0 on top and the system offers a more intuitive, simple,
clear and stylish design. On the battery side, it has a 1730mAh battery with power saving
technology.
Honor Power Bank AP007 has a massive 13000 mAh battery enabling to charge your
smartphone faster whenever in crisis of battery discharge. It features high quality
circuit and professional architectural design with industry's leading intelligent control
chip and protection circuit design in order to provide security protection. The Power Bank
is compatible with every mainstream smartphone and tablet and can be connected via USB
cable and port. It also has one-piece bracket design which is effective to ensure the
safety of the batteries and electric circuit. Further, it comes with an intelligent sleep
and energy saving mode to ensure optimized power consumption.
The company has also launched a separate website for India, http://www.hihonor.in
[http://www.hihonor.in ]. This website will provide a platform to engage with Indian
customers, enable creation of Honor communities, and allow people to share their brave
stories, as Honor is a brand 'for the brave'.
Participate in live conversation: #CanU4C
About Honor:
Honor is an independent Internet smartphone brand under Huawei. Honor is the world's
leading smartphone e-brand. The target consumers of Honor are digital native generation, a
group that live in the internet, spending more than one third of their time online for
social and entertainment, shopping, studying or simply staying connected. They are young,
vibrant and driven by passion. Honor brand has clocked sales of over 20 million Honor
phones in 2014, accounting for a global revenue of about 2.4 billion USD with a 24 times
increase. Honor has been expanding aggressively in global markets, and has successfully
launched in 57 global markets within 6 months in 2014, entering the bestselling charts in
most of the European countries Honor launched. Accordingly, Honor obtains a huge user base
which has yielded powerful mouth-spreading asset. Honor products also enjoy global
aesthetics, super processing technology and massive patent support. The three basic
characteristics of Honor product: best camera, long battery life and remarkable
craftsmanship. Honor is born for ultimate tech innovation.
Media Contact:
Anish Bangia
anish.bangia@fleishman.com
+91-9911104244
Account Manager
Fleishman Hillard
Huawei Teases The Wearable Device Market With TalkBand B2
BANGKOK, June 5, 2015 /PRNewswire/ -- Huawei Consumer Business Group embarks on its path to become the market leader in providing innovative wearable devices by releasing TalkBand B2 in Mobile World Congress 2015, held in Spain earlier in March and launched in the Southeast Asian market on May 28 at Huawei P8 & Wearable Launch in Bangkok, Thailand, along with Huawei's MediaPad X2 and smart powerbank AP007.
TalkBand B2 is Bluetooth headset and sports bracelet, with a sleek look to compliment smart business executives who are athletically active.
TalkBand B2 as Huawei's second-generation fitness band, designed by Huawei's Paris Aesthetics Research Center, which is staffed by 10 designers specializing in luxury design, fashion, automotive, 3D, digital, and brand strategy. TalkBand B2 is made of high-intensive aviation aluminum material, providing a fashionable yet solid look.
"No doubt, wearable devices will remain one of the most significant trends in the device industry, and by leveraging Huawei's technology and innovation, Huawei Consumer BG aims to be a leader in the wearable space," said Mr. Thomas Liu, President of Huawei Consumer BG Southeast Asia.
Mr. Liu said wearable devices will be developed alongside sophisticated smartphones like P8 to satisfy consumers' daily work and life. The ease of use functions like unlocking the phone without entering a password while the B2 is nearby as well with simple click on the B2, the phone can be found easily. To keep the B2 in touch all the time, it contains a long-lasting battery: a life of 5 days of continuous usage, 6 hours call and 12 days standby.
Available in 3 colors, black, silver and gold, consumers can personalize the device with customizable strap options including a TPU soft rubber strap which is skin-friendly and allergy free or a luxurious leather strap.
TalkBand B2 can automatically identify and record steps while the user is walking, running, cycling, climbing and various other motion states. It can collect thousands of types of data in different motion states through a smart human daily physical activity recognition system to have a more scientific and accurate judge of motion states.
Data layout optimization and considerably lower RAM consumption are some of the added
Tuxera benefits [http://www.tuxera.com/markets/camera ] for the action camera
manufacturers. In addition, power-safe Tuxera solutions ensure that user's data is never
lost during storage removal or power loss.
"Intensive video recording and photo shooting can significantly wear-out SD cards.
Optimized for flash-memory, Tuxera solutions provide an outstanding SD card support and
significantly extend its memory lifetime," noted Szabolcs Szakacsits, Tuxera's President
and CTO.
Worldwide sport camera market is growing with shipment forecast
[http://www.ceatec.com/report_analysis/en/ra_140728.html ] of 9 million units by 2018.
Point-of-view cameras are small, shockproof and waterproof, and above all able to record
ultra HD videos in most rugged conditions. Built-in Wi-Fi connectivity and direct links to
social media make it possible to share photos and videos on the go.
About Tuxera: Tuxera is the leading provider of file systems that allow music,
pictures, videos, and other content to be ported across mobiles, tablets, home
entertainment, consumer, industrial or any other electronics. Tuxera's industry-standard
file system solutions for Android, Linux, Mac OS X and other platforms have hundreds of
millions installations. Close collaboration with industry leaders ensures reliable
compatibility and helps to set high standard in performance, low power use for embedded
and mobile solutions. For more information, please visit http://www.tuxera.com
Contact: Karolina Mosiadz, Communications and Marketing Manager,
karolina@tuxera.com
Cat® Phones Launches the Cat B30 Rugged Feature Phone - Designed for the Real World
READING, England, June 5, 2015 /PRNewswire/ --
Durable, reliable handset designed for use in outdoor and testing
environments by users who demand reliable voice and text performance
Cat(R) phones [http://www.catphones.com ] announces the availability of the Cat B30, a
rugged, feature phone specifically designed for use in the toughest of environments. The
B30 is the perfect mobile phone for outdoor workers as well as anyone who needs reliable
voice and text performance from their mobile.
With an IP67 (Ingress Protection) certification, the B30 is waterproof to 1m,
dustproof and shockproof. It can also withstand a 1.8m drop on to concrete.
With 650 million feature phones shipped in 2014 - according to analyst firm CCS
Insight - the global market for feature phones is still apparent, especially in the rugged
sector. As more and more individual mobile users and companies experience problems and
incur high repair and replacement costs from using handsets in testing environments, it's
a market segment that will remain highly profitable.
"The B30 is built for users who need a mobile phone they can rely on," said Oliver
Schulte, CEO of Bullitt Mobile. "From builders to farmers, foresters to electricians, if
your phone needs to deliver reliable voice and text performance combined with
class-leading durability, the B30 is the ideal handset."
B30 specifications
* Drop from 1.8m
* Waterproof to 1m
* IP67 certified
* 2.0" QCIF screen
* Torch
* FM radio & MP3 player
* 2MP camera
* 3G
* Dual SIM
Retailing at 129 Euro MSRP, the B30 is the successor to the best-selling Cat B25 rugged
feature phone. For further information please visit
http://www.catphones.com/phones/b30
Media contact:
Lisa Meakin
lisa@bullitt-group.com
+44(0)7968-363714
Cat® Phones Launches the Cat B30 Rugged Feature Phone - Designed for the Real World
READING, England, June 5, 2015 /PRNewswire/ --
Durable, reliable handset designed for use in outdoor and testing environments by users who demand reliable voice and text performance
Cat(®) phones announces the availability of the Cat B30, a rugged, feature phone specifically designed for use in the toughest of environments. The B30 is the perfect mobile phone for outdoor workers as well as anyone who needs reliable voice and text performance from their mobile.
With an IP67 (Ingress Protection) certification, the B30 is waterproof to 1m, dustproof and shockproof. It can also withstand a 1.8m drop on to concrete.
With 650 million feature phones shipped in 2014 - according to analyst firm CCS Insight - the global market for feature phones is still apparent, especially in the rugged sector. As more and more individual mobile users and companies experience problems and incur high repair and replacement costs from using handsets in testing environments, it's a market segment that will remain highly profitable.
"The B30 is built for users who need a mobile phone they can rely on," said Oliver Schulte, CEO of Bullitt Mobile. "From builders to farmers, foresters to electricians, if your phone needs to deliver reliable voice and text performance combined with class-leading durability, the B30 is the ideal handset."
B30 specifications
* Drop from 1.8m
* Waterproof to 1m
* IP67 certified
* 2.0" QCIF screen
* Torch
* FM radio & MP3 player
* 2MP camera
* 3G
* Dual SIM
Retailing at 129 Euro MSRP, the B30 is the successor to the best-selling Cat B25 rugged feature phone. For further information please visit http://www.catphones.com/phones/b30/
Media contact:
Lisa Meakin
lisa@bullitt-group.com
+44(0)7968-363714
Residents and businesses now have a new and better choice for TV services
SALT LAKE CITY, June 4, 2015 /PRNewswire/ -- CenturyLink, Inc. (NYSE: CTL) delivers on its promise to be a technology leader in Utah with an announcement today that Prism(®) TV service is now available to many Salt Lake City and surrounding area residents and businesses. Prism(®) TV, an interactive TV service delivered through CenturyLink's nationwide fiber optic network, is an alternative to cable and satellite services and provides customers with the latest in entertainment technology.
In 2014, CenturyLink deployed fiber-enabled 1 gigabit per second (Gbps) broadband speeds to residential and business customers in Salt Lake City and many other Utah communities and is now making additional investments to offer entertainment services such as Prism(®) TV.
"Prism(®) TV is different from other television services in Salt Lake City. It delivers a digital connection to the home, providing a combination of interactive features, a wireless set-top box and a large selection of HD channels," said Jeremy Ferkin, CenturyLink vice president of Utah operations. "Prism(®) TV service is complemented by our aggressive fiber broadband deployment in Utah that includes Internet speeds up to 1 gigabit per second."
"Utah is home to one of the nation's most connected high-speed broadband systems in the country," said Val Hale, executive director, Utah Governor's Office of Economic Development. "CenturyLink's Prism(®) TV is an excellent example of what high-speed Internet broadband service can provide Utah residents, businesses and educational institutions."
Advanced features offered by Prism(®) TV include a wireless set-top box, whole-home DVR, a mobile app for watching programming at home or on the go, extensive video on demand library and an app center for accessing social network sites. In addition, Prism(®) TV offers premium mobile content from HBO GO(®), MAX GO(®)(,) STARZ Play and SHOWTIME Anytime(®)(,) and a large selection of sports channels, including the NFL Network, SEC Network, Pac-12 Network and Big Ten Network.
As a leading provider of 1 Gbps broadband services for consumers, businesses and education in Utah, CenturyLink has committed to bring 1 Gbps speeds to approximately 100,000 residential customers during the next 12 months in locations ranging from Logan to St. George.
Prism(®) TV is now available in Salt Lake County, including many Salt Lake City locations, and in South Jordan. Customers who want to learn more about Prism(®) TV or see if the service is available in their area can go to the CenturyLink retail store located at 156 East Winchester Street in Murray, or online at http://www.centurylink.com/prismtv. Customers can also go to http://www.centurylink.com/prismtvme to sign up to be notified when the service is available in their area.
About CenturyLink
CenturyLink (NYSE: CTL) is a global communications, hosting, cloud and IT services company enabling millions of customers to transform their businesses and their lives through innovative technology solutions. CenturyLink offers network and data systems management, Big Data analytics and IT consulting, and operates more than 55 data centers in North America, Europe and Asia. The company provides broadband, voice, video, data and managed services over a robust 250,000-route-mile U.S. fiber network and a 300,000-route-mile international transport network. Visit CenturyLink for more information.