SYBO, creators of Subway Surfers, launches Blades of Brim worldwide; collaborates with Spellgun and Talkweb to tackle Chinese mobile market
SAN FRANCISCO, June 4, 2015 /PRNewswire/ -- SYBO, the creators of internationally successful Subway Surfers, announced the worldwide launch of their new mobile game, Blades of Brim, now available exclusively on the App Store for iPhone, iPad, and iPod touch.
Blades of Brim is a new generation endless runner mobile game. With innovative new gameplay and stunning visuals, Blades of Brim brings fast-paced combat, a whole new crew of runners, and an epic and enchanting universe to the genre. Players can choose from a variety of characters, call on epic mounts, and go on heroic quests while battling enemies in a world of adventure.
Blades of Brim launches simultaneously in the lucrative Chinese mobile games market as part of this worldwide launch. SYBO has partnered with mobile game publisher Spellgun, to localize and release Blades of Brim in all 29 Chinese provinces.
The innovative design of this next generation runner is sure to appeal to Chinese players, where revenue from mobile games is reported to overtake the U.S. in 2016. SYBO's previous game, Subway Surfers, enjoyed success in China and was among the top 10 casual mobiles games in China.
"By working with Spellgun/Talkweb on Blades of Brim in China we can enhance the worldwide SYBO experience," said Bodie Jahn-Mulliner, CEO and Co-Founder of SYBO and Sylvester Rishoj Jensen, Creative Director and Co-Founder of SYBO.
"Blades of Brim has everything that Chinese players would want in a new mobile game -- cutting-edge graphics, fast paced action, and a wonderfully imaginative world with dragons, magic, and cool heroes. Our expectations for the game's performance in China is extremely high and we are very happy that SYBO selected Spellgun as their partner for the China market," said James Zhang, CEO of Spellgun.
SYBO is one of only a few game developers to simultaneously release a mobile game in both China and the Western markets.
Blades of Brim is free to play with in-app purchases. For more information visit: http://www.sybogames.com
About SYBO (http://www.sybogames.com)
SYBO is the developer and the IP owner of Subway Surfers, the endless runner released on Apple and Android devices, under a freemium model. The game was released in May 2012, and has since, reached 700 million installs, 62 billion play sessions and 75 billion miles run. Subway Surfers was the second most downloaded mobile game in 2014. SYBO is based in Copenhagen, Denmark, and is passionate about their players. SYBO lives to create immersive and exciting experiences.
About Spellgun (http://www.spellgun.com)
Spellgun, a division of Concept Art House, is the exclusive North American partner of Talkweb. Spellgun is the most complete solution for North American game companies wishing to launch their products into the Chinese mobile market. Spellgun provides North American developers access to a San Francisco based licensing and support team, a 100+ Shanghai based localization office, distribution partnerships with all leading China operators, and local marketing, user support and operations in all 29 Chinese provinces through Talkweb.
About Concept Art House (http://www.conceptarthouse.com)
Concept Art House is a leading art outsourcing and creative service provider for mobile and video games. With a team of professional artists and industry veterans, Concept Art House has contributed game art to over 500 shipped video games from companies including Blizzard, DeNA, Electronic Arts, Epic Games, Kabam, Riot Games, Supercell, and Wizards of the Coast. Concept Art House develops long-term relationships with each client through integrity, open communication, and high-quality artwork.
About Talkweb (http://www.talkweb.com.cn)
Talkweb Information Systems Co., Ltd is one of China's leading providers of mobile e-commerce applications. Established in 1996, Talkweb is one of China's most recognized public companies. With over 2,000 employees, 29 branch offices, and four headquarters locations, Talkweb offers technical and operational support to mobile operators across all of China's 29 provinces.
Talkweb is a leader in supporting games nationwide with a proven history of successfully launching Western games, such as Angry Birds, Plants vs. Zombies 2, and Bejeweled Deluxe in the Chinese smartphone market.
G-Shock Announces Exclusive Camo Ball To Celebrate Camo Collection
INVITE-ONLY EVENT WILL MARRY HIGH-FASHION AND STREETWEAR
DOVER, N.J., June 4, 2015 /PRNewswire/ -- To celebrate its camouflage-patterned GA100CM & GDX6900TC watch collection and in time to kick off summer, Casio G-Shock announces that it will host an exclusive Camo Ball on Tuesday, June 9. The Camo Ball is an invite-only formal event where high fashion meets streetwear. The event will feature DJ sets from New York's own DJ Wonder and Los Angeles-based Soulection DJ SoSuperSam. The dress code is formal, and invitees must wear one camo item to guarantee entry.
The Camo Ball is a nod to New York City's pioneering style. The design has long since transcended its military roots to become a timeless favorite of the fashion set. Juxtaposed against the concrete jungle - a city constantly at the forefront of style trends - the Camo Ball highlights the versatility of the design and will bring together Manhattan's stylish and influential men and women.
"Much like G-Shock's watch designs, camouflage is timeless. With summer fully kicking off in Manhattan, there's no better way to celebrate the camo collection than with friends of our brand," said Shigenori Itoh, Chairman and CEO of Casio America, Inc., G-Shock's parent company. "It's not often that you can have a formal celebration to celebrate a product release, so we're thrilled to see this come to life. It's completely unique."
Leading up to the Camo Ball, as an event teaser, a select number of influencers and media received an exclusive G-Shock camouflage kit, complete with their own G-Shock camouflage watch, a camouflage umbrella, camouflage five-panel hat and camouflage socks - all packaged in a camouflage printed tote bag.
Each of the watches in the G-Shock camo collection features a formidable Shock and 200-meter water resistant case design, coupled with a myriad of features and a battery life of up to ten years. They also feature stand out Woodland Camo (GA100CM) or Tiger Camo (GDX6900TC) multi-angle print pattern, complemented with large case sizes of 51.2MM and 53.9 respectively.
The GA100CM and GDX6900TC are available for purchase in red, tan and gray and retail for $150. To purchase, visit an authorized G-Shock retailer, G-Shock's New York City flagship store at 454 West Broadway, NY, New York, and select Macy's locations in-store and online.
About G-Shock
CASIO's shock-resistant G-Shock watch is synonymous with toughness, born from the developer Mr. Ibe's dream of 'creating a watch that never breaks'. Over 200 handmade samples were created and tested to destruction until finally in 1983 the first, now iconic G-Shock hit the streets of Japan and began to establish itself as 'the toughest watch of all time'. Each watch encompasses the 7 elements; electric shock resistance, gravity resistance, low temperature resistance, vibration resistance, water resistance, shock resistance and toughness. The watch is packed with Casio innovations and technologies to prevent it from suffering direct shock; this includes internal components protected with urethane and suspended timekeeping modules inside the watch structure. Since its launch, G-Shock has continued to evolve, continuing to support on Mr. Ibe's mantra "never, never give up".
About Casio America, Inc.
Casio America, Inc., Dover, N.J., is the U.S. subsidiary of Casio Computer Co., Ltd., Tokyo, Japan, one of the world's leading manufacturers of consumer electronics and business equipment solutions. Established in 1957, Casio America, Inc. markets calculators, keyboards, mobile presentation devices, disc title and label printers, watches, cash registers and other consumer electronic products. Casio has strived to realize its corporate creed of "creativity and contribution" through the introduction of innovative and imaginative products. For more information, visit http://www.casiousa.com.
CONTACT: Sarah La Rosa, Team Epiphany, (347) 990-1041, sarah@teamepiphany.com; Sue Vander Schans, CASIO AMERICA, INC., (973) 361-5400, SVanderschans@casio.com
CulCharge, the World's Smallest 3-in-1 PowerBank, Charger and Data Cable Keychain, Reaches Crowdfunding Goal $60K in 31 Hours, Offers 100% Satisfaction Guarantee
BRATISLAVA, Slovakia, June 4, 2015 /PRNewswire/ -- Slovak Startup, CulCharge has reached its goal of $60K funded through crowdfunding website, Indiegogo in the first 31 hours of the campaign. In addition, CulCharge became the most trending campaign (http://igg.me/at/culcharge2) for 3 consecutive days on the Indiegogo platform last week.
With a minimalist design, CulCharge is the world's smallest charger on a keychain, allowing users to charge and sync data with Apple iPhones equipped with lightning connector, as well as Android, Windows, and Blackberry phones equipped with microUSBs.
This is not CulCharge's first successful crowdfunding campaign. In 2013, CulCharge set out with a goal of raising $15K through Indiegogo for a simple product, the smallest data and charge cable available worldwide. In summer 2013, the campaign concluded just shy of reaching $100K with over 3,000 backers from 71 countries in 40 days. The CulCharge team was able to source a reliable manufacturer and ship the CulCharge cables on time to backers with 100% backer satisfaction.
With the current campaign, CulCharge is a first in crowdfunding industry to offer 100% money back guarantee in keeping with the success of its first campaign and the company's confidence it can deliver the highest quality of product on time to all crowdfunding backers.
Similar to CulCharge's last crowdfunding campaign product, its newest product, CulCharge 3-in-1 PowerBank provides a solution for people on-the-go. Equipped with a 1000mAh battery, the PowerBank can also provide a charge outlet through a charge cable from other sources or act as a data cable.
8 days into the Indiegogo crowdfunding campaign, CulCharge has raised more than $80K through 657 backers. CulCharge intends to begin to ship the PowerBank in December 2015. In addition, Deutsche Telekom also placed a preorder of $50K in the first day of the PowerBank crowdfunding campaign. Through this additional capital stream, CulCharge is creating new ways to find strategic partners and fund innovative products without having to rely solely on the end users of the product. With 37 days still left to go, the PowerBank crowdfunding campaign will most likely surpass CulCharge's first campaign, raising more than $100K.
CulCharge's long-term plan includes the development of an eco-system for young, talented engineers who have great inventions and aim to bring these ideas to life. Beginning in 2015, CulCharge will run regular hardware hackathons to cultivate fresh projects and personally back the most outstanding products.
For more information on CulCharge's PowerBank please contact Viktor Reviliak of CulCharge through email or by phone at +421 904 178 159.
About CulCharge
CulCharge cofounders and friends, Viktor Reviliak and Jozef Zemla have dedicated their lives to creating solutions to the issues surrounding energy capacity of mobile people around the world. CulCharge PowerBank was born after months of development in cooperation with partners from Europe, Hong Kong, and China.
CulCharge's distribution of its charge cable includes international availability in Apple Premium Reseller stores and the world's largest distributor of mobile accessories, Brightstar. CulCharge has also attracted international brands such as Telkom, Forbes, and Telfonica. CulCharge's products are official Apple gadgets and the charge cable was recently picked up by Amazon as one of the best gadgets for its official 2015 Consumer Electronics Show showcase and it was also chosen to be one of only two companies to represent Slovakia at EXPO 2015 in Milan.
GTL Deploys Groundbreaking New Inspire(TM) Correctional Tablets
Devices offer calling, educational content, and more on a fully secure system
RESTON, Va., June 4, 2015 /PRNewswire/ -- Global Tel*Link (GTL), the leading provider of integrated correctional technology solutions, announces that it has developed and successfully deployed its groundbreaking Inspire(TM) inmate tablet technology. These successful deployments culminate three years of research and development of corrections-grade tablet technology and secure wireless calling. The Inspire tablets have telephone, educational materials, and streaming music capabilities, and other features for inmate use, all while maintaining the security necessary for a correctional environment. Inspire tablets, currently implemented in multiple locations with a planned rollout to its customers in progress, were custom-designed by GTL. This ensures that both hardware and software meet strict correctional security needs while expanding communication choices and capabilities to help facilities reduce recidivism.
The Inspire tablets benefit both inmates and correctional facilities. For example, in addition to paper-saving features, such as commissary ordering and grievance and administrative request processing, facilities will also have the option of loading the devices with educational content. This content varies by facility and can include facility rules and guidelines, training manuals for jobs in various trades, social-emotional learning tools for skills like maintaining healthy relationships and anger management, and more. In addition to personal enrichment content, entertainment options such as streaming music have the potential to help inmates to better cope with their circumstances and promote positive mood. The combinations of these apps can better prepare inmates for life upon release, with the intent to reduce recidivism.
"We believe that the Inspire devices are the future of inmate communications, education and entertainment," said Anthony Bambocci, GTL's Chief Marketing Officer. "They improve a facility's operational efficiencies by reducing costs and conflicts over access to phones, and have nearly limitless educational possibilities. In addition, the three years we have devoted to the design and security of our proprietary portfolio of devices and wireless access has been time well spent. Our devices have been inspected, reviewed and tested by corrections security staff and other experts in the field, making us confident they adhere to the strictest correctional security standards."
The Inspire tablets allow for complete over-the-air (OTA) control of the proprietary operating system, including remote management of all system settings, applications, and firmware. All operating system settings can be remotely managed OTA, allowing GTL to remove the user-interactive settings application of the operating system. This prevents inmates from using the settings function for making changes to the settings of the Inspire product, further enhancing its already very robust security features. Additionally, similar to how many well-known consumer product lines can push new firmware versions onto devices remotely, GTL will be able to push the installation of operating system enhancements throughout the life of the Inspire product.
The current product implementations demonstrate several different capabilities of GTL's wireless tablet technology system. For example, one implementation was launched in Alameda County, California. It is one of the largest deployments of correctional wireless tablet technology in the country and features inmates placing calls of up to four hours long in the privacy and comfort of their own cell. This provides inmates with an opportunity for increased communication with friends and family, which many have suggested is linked to reduced recidivism. In addition, the Alameda County Sheriff's Office Detention and Corrections Unit has provided educational content on all of the tablets.
"Using the Inspire tablets has allowed us to provide valuable educational content to our inmates, helping them to prepare for productive lives once they are released," said Gregory Ahern, Alameda County Sheriff. "In addition, providing tablets to individuals has lessened conflicts surrounding the use of traditional inmate phones and given inmates productive ways to spend their time."
GTL is the leading provider of integrated correctional technology solutions, delivering financial value, security, and ease of operation to our customers through visionary products and solutions at the forefront of corrections innovation. As a trusted correctional industry leader, GTL provides service to approximately fifty percent of inmates nationwide, including service to 32 state departments of corrections, the District of Columbia, Puerto Rico, and 32 of the largest city/county facilities. GTL is headquartered in Reston, Virginia, with more than 10 regional offices across the country. To find out more about GTL, please visit our website http://www.gtl.net.
Pop Artist Sean Danconia to launch new-brand, SupaPop, at Licensing Expo 2015
LOS ANGELES, June 4, 2015 /PRNewswire/ -- Sean Danconia, Disney ®Artist and Anime Expo® Guest of Honor, debuts "SupaPop" - his newest brand universe at Licensing Expo 2015 (Mandalay Bay Convention Center) in Las Vegas, June 9-11th. Joseph Watson Collection to host a red-carpet Animation Premiere event on June 10th.
Artist / designer Sean Danconia's dynamic take on the comic & animation worlds has made him a household name among Pop-Art aficionados. Recent exhibitions of his work include Disney's Wonderground Gallery (Disneyland® Resort), Mouche Gallery, Hero Complex and Sotheby's.
The SupaPop universe to debut at Licensing Expo 2015 is Danconia's latest hyper-inventive imagination explosion. Inspired and set in the multi-pop-cultural city of San Francisco, Danconia's universe masterfully superglues Gaming, Comics, Anime, Cinema and Music into the ultimate licensing launch. SupaPop functions as both a Creative Lab for his latest inventions and an umbrella brand kicking-out new characters, collections and baby-brands.
Aimed at a wide demographic - from tweens, teens and tastemakers of all ages - SupaPop rolls-out a range of categories starting with apparel, accessories, home furnishings, toys/collectibles, gaming and apps. Having opened last year's Anime Expo 2014 with his original animation feature, Danconia's SupaPop is already garnering attention from top creative studios catering to a host of media platforms. SupaPop's premier game offering will feature "My Starling" in a futuristic-Dim Sum adventure, in collaboration with 5 Elements Entertainment.
The SupaPop story universe unfolds as a Time-Capsule-Toy city, created and sealed in Sixties San Francisco... set to POP! open in 2016. Inside is the future - of our past - a retro futurist rainbow youthquake! SupaPop's irreverent character set and eye-popping visuals are inspired by 60's Animation, Design, Pop Art & Music, along with a collage of cultural kitsch from the "flower-power" capital of the world.
As with Danconia's previous brand collections and characters--developed for Disneyland's Vault 28, Saks 5th Avenue, Fred Segal, Hot Topic and PacSun--SupaPop targets hip, cutting edge retailers. Plans for SupaPop retail capsules are also in the works, building on the success of Danconia's "Artist in Residence" Pop-Up Shop at Downtown Disney® District.
About: SupaPop is the brainchild of Sean Danconia, father of the Pop-Fusion art movement, released in collaboration with new media creative group - ENJIN FACTORY - based in Beverly Hills, California.
For media inquiries, please contact Rebecca Hilton (info@enjingo.com)
Sena Announces Release of All-New Communication Device With Integrated HD Camera
-- The Sena 10C Gives Motorcycle Riders and Action Sports Athletes the Ability to Communicate, Listen to Music and Record HD Video in a Single Device --
SAN JOSE, Calif., June 4, 2015 /PRNewswire/ -- Sena Technologies, Inc., a Bluetooth innovator in the motorcycle and outdoor activities market, has announced the official release of the 10C Motorcycle Bluetooth(®) Camera & Communication System for motorcyclists and action sports athletes. The 10C combines Sena's expertise in Bluetooth communications with their top-of-the-line high-definition camera technology into a single device. Users with the 10C can enjoy crystal-clear intercom and phone communications while having the ability to record high-definition video in the same device. The 10C features a unibody design, revolutionary Bluetooth 4.1 technology and is firmware upgradeable to ensure that it always stays current with the latest features.
"Since our entrance in the motorcycle and power sports markets a few years ago, we realized the potential to integrate a communication device with a camera which is how we came up with the 10C. We took the best features from our communication and camera lines and combined them into a single device that allows users to document a ride in one seamless device," said Tae Kim, President and CEO of Sena Technologies, Inc. "We listen to our customers and many have said that a capability like the one offered by the 10C is exactly what they're looking for which is why we developed this device. We are confident that this is going to be a real game changer and all of us here at Sena are extremely excited to see what people can do with the 10C."
Similar to Sena's other products, the 10C is extremely intuitive and features voice prompts that tell the rider the current status of the device. The 10C mounts to the left side of a helmet using Sena's unique clamp system and the camera lens can adjust up to 30 degrees to be compatible with a wide variety of installations. The built-in camera technology records video at resolutions of 1080p at 30 frames-per-second or 720p at 60 frames-per-second. The included microphone also allows users to record their voice to their video while also enjoying four-way intercom communication up to 1.6 kilometers (1.0 mile) with Universal Intercom(TM) technology. The 10C's unique photo modes allow users to capture 3.5 megapixel photos in single, burst or time lapse modes with the simple press of a button. In addition, the headset features Advanced Noise Control(TM), music sharing, built-in FM radio tuner, easy operation with a versatile jog dial, an external antenna. The 10C's settings can be adjusted using the Sena smartphone app available for iPhone and Android.
Another feature that sets the 10C apart from other action cameras is Sena's Smart Audio Mix(TM) technology that allows users the ability to mix their voice and music directly to the video as its being recorded. Recording voice communications to the video gives rider's the ability to capture the excitement of a ride as it happens providing a more realistic and compelling recording of the ride. The 10C also features a new technology called video tagging which provides users the ability record 60 seconds of past video, the current 60 seconds of video and a future 60 seconds of video with just the press of a button ensuring that none of the action is missed on a ride.
The 10C works seamlessly with the recently released new Sena Handlebar Remote allowing riders to control many functions of the device without having to take their hands off the handlebar. The Handlebar Remote clamps on the left grip of a motorcycle making the interface with the 10C more convenient by controlling several features of the jog dial and phone button from the comfort of the handlebar. The Handlebar Remote uses special low-power Bluetooth technology that provides approximately three months of use between charges. The Handlebar remote also works with the 20S and 10U Motorcycle Bluetooth® Communication Systems. The 10C is now available on BuySena and through our retailers across North America for $349 and like all Sena products, the 10C is firmware upgradable and includes Sena's industry- leading two-year warranty.
For more information about the 10C, as well as the full Sena product line, please visit http://www.Sena.com.
About Sena Technologies Inc.
Sena is the global leader in Bluetooth Innovation for the motorsports, action sports and outdoor sports lifestyles - enabling real-time communication and optimal performance in the thick of the action. Since its first and flagship product, the SMH10 Bluetooth intercom/headset for Motorcycle helmets, the most cutting-edge technological designs have allowed riders across powersports and motocross to change the way they communicate while charging tracks and courses worldwide. With cyclists, action sports and outdoor sports enthusiasts taking advantage of its impressive communications and onboard technologies - coupled with its sheer innovation in creating new perspectives with Bluetooth audio action camera technology - Sena is enhancing the lives of speed demons and action-seekers for the better. Sena currently offers its products worldwide through its global network of distributors, retailers and OEM partners.
For more information on Sena Technologies Inc. and its products, please visit http://www.Sena.com or contact (951) 719-1040 or media@sena.com.
Intel Custom Foundry Certifies Synopsys Implementation Tools for 14-nm FinFET Production
Galaxy Design Platform-based Implementation Flow Available Today for 14-nm
MOUNTAIN VIEW, Calif., June 4, 2015 /PRNewswire/ --
Highlights:
-- Silicon-proven digital and custom implementation tools from the Galaxy
Design Platform are now certified for foundry customers on Intel's 14-nm
process
-- Silicon-proven Synopsys DesignWare IP is available for foundry customers
on Intel's 14-nm process
-- Imagination Technologies' PowerVR Series6 GPU enabled rigorous tool
certification
Synopsys, Inc. (Nasdaq: SNPS) today announced Intel Custom Foundry's certification of digital and custom implementation tools from the Synopsys Galaxy(TM) Design Platform for Intel's 14-nanometer (nm) tri-gate process technology. The certification enables customers of Intel Custom Foundry to realize predictability in design closure while taking advantage of the power and performance benefits of the 14-nm tri-gate process. In addition, Intel Custom Foundry offers a system-on-chip (SoC) design flow for 14-nm implementation and signoff based on the industry-leading tools in the Galaxy Design Platform.
Key tools of the Galaxy Design Platform certified for the 14-nm process include: IC Compiler((TM)) place and route, PrimeTime(®) static timing and noise analysis, IC Validator physical verification, HSPICE(®) and CustomSim((TM)) circuit simulation and StarRC((TM)) signoff extraction.
Using a proven methodology with certified tools accelerates design closure and gives customers of Intel Custom Foundry confidence in achieving success on their most challenging designs on Intel's 14nm process. Synopsys and Intel Custom Foundry employed a PowerVR Series6 GPU from Imagination, together with Synopsys' high-speed and ultra high-density DesignWare® Embedded Memories, as the certification vehicle to validate the Synopsys Galaxy platform for a complete RTL-to-GDSII methodology. This addresses real-world design challenges as part of the certification process, ensuring that the certified tools satisfy all of Intel's multi-pattering and tri-gate design rules on a complex design relevant to Intel Custom Foundry's customers.
"We have certified the Synopsys Galaxy Design Platform for our mutual customers to implement, verify and signoff differentiated SoC designs targeting Intel's 14-nanometer technology with our second-generation of tri-gate transistors in high-volume manufacturing," said Ali Farhang, vice president, Design Enablement and Services, Intel Custom Foundry. "This certification was only possible through a collaborative three-way effort by Intel Custom Foundry, Synopsys and Imagination Technologies."
"Our long-standing, deep engineering collaboration with Intel Custom Foundry and Imagination has enabled us to deliver a certified, silicon-proven implementation solution for our mutual customers," said Antun Domic, executive vice president and general manager, Synopsys Design Group. "Combined with the experience of more than 15 successful customer SoC tapeouts with Synopsys Galaxy tools, several already in production, this collaborative effort is enabling designers to deliver next-generation designs with aggressive QoR goals."
"Imagination worked closely with Intel Custom Foundry and Synopsys to use our PowerVR Series6 GPU for tool certification," said Tony King-Smith, EVP marketing, Imagination. "This effort leverages the extensive work we have already done with Synopsys to ensure design flows deliver excellent power, performance and area for our GPUs and other IP."
To learn more about the Synopsys Galaxy Design Platform and DesignWare IP solutions, please visit Synopsys in booth #2133 at the Design Automation Conference in San Francisco, Calif., June 7-11, 2015. For more information visit: http://www.synopsys.com/apps/dac2015/.
Availability
Support for Synopsys Galaxy Design Platform is available today for Intel Custom Foundry 22-nm and 14-nm process technologies. DesignWare Memory Compilers and DDR3/2 PHYs are also available today. For more information about 22-nm and 14-nm Intel Custom Foundry process technology and design enablement please visit Intel.com/Foundry.
About Synopsys
Synopsys, Inc. (Nasdaq:SNPS) is the Silicon to Software(TM) partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP, and is also a leader in software quality and security testing with its Coverity® solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest quality and security, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at http://www.synopsys.com.
Intel Custom Foundry Expands Offering with Reliability Checking Using Calibre PERC
WILSONVILLE, Ore., June 4, 2015 /PRNewswire/ -- Mentor Graphics Corp. (NASDAQ: MENT) today announced that Intel Custom Foundry is expanding its 14nm platform offering to include reliability verification based on the Calibre® PERC(TM) platform for its customers. Intel and Mentor Graphics jointly developed an initial set of electrical checks to help improve IC dependability, and are also collaborating to add additional check types over time for foundry customers on Intel's 14nm process.
"Collaborating with Mentor on a comprehensive suite of reliability checks helps ensure that mutual customer designs achieve the highest level of dependability, quality, and robustness for the Intel 14nm platform which includes the second generation of tri-gate transistors in high-volume manufacturing," said Venkat Immaneni, Senior Director, Foundry Design Kit Enablement for Intel Custom Foundry. "We are pleased to be able to expand the number of solutions Intel Custom Foundry offers on the Mentor Calibre platform to include critical checks for reliability verification."
Intel Custom Foundry will provide customers with Calibre PERC rule decks that perform reliability checks designed to address customers' advanced circuit verification needs for electrostatic discharge (ESD), electrical overstress (EOS), signals crossing multiple power domains, and other reliability concerns. The Calibre PERC tool makes it possible to automate complex reliability checks by integrating knowledge of both the physical layout and the design netlist, which defines device types and connectivity.
This certification initiative is an extension of the 14nm enablement collaboration between Intel Custom Foundry and Mentor Graphics over the past year. Joint efforts have resulted in significant runtime improvements, a reduction in memory requirements for Calibre nmDRC and Calibre nmLVS, as well as optimizations and certification for the Analog FastSPICE (AFS) platform to support Intel Custom Foundry's device models and design kits.
"Our ongoing work with Intel Custom Foundry gives our mutual customers an industry leading signoff environment with excellent performance," said Joseph Sawicki, vice president and general manager of the Design-to-Silicon division at Mentor Graphics. "With the addition of Calibre PERC-based reliability checking to the Intel Custom Foundry 14nm offering, we continue to proactively deliver the exacting capabilities needed for advanced process nodes."
Intel has started to make the Calibre PERC tool's reliability checks available to customers of Intel Custom Foundry on the 14nm platform.
For more information on Intel Custom Foundry, please visit Intel.com/Foundry.
About Mentor Graphics
Mentor Graphics Corporation is a world leader in electronic hardware and software design solutions, providing products, consulting services and award-winning support for the world's most successful electronic, semiconductor and systems companies. Established in 1981, the company reported revenues in the last fiscal year in excess of $1.24 billion. Corporate headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentor.com/.
(Mentor Graphics, Mentor, and Calibre are registered trademarks and PERC is a trademark, and PERC, nmDRC, and nmLVS are trademarks of Mentor Graphics Corporation. All other company or product names are the registered trademarks or trademarks of their respective owners.)
For more information, please contact:
David Smith
Mentor Graphics
503.685.1135
david_smith@mentor.com
Sharp Introduces New Line Of Commercial AQUOS(TM) LED Smart TVs
Smart TV Series Offers Best in Class for Commercial and B2B Applications
MAHWAH, N.J., June 4, 2015 /PRNewswire/ -- Sharp Imaging and Information Company of American (SIICA), a division of Sharp Electronics Corporation (SEC), today announces its first series of AQUOS LED smart TVs specifically designed for B2B and commercial applications are now shipping and available for order. The series includes monitors in three sizes: a 60" Class (60.1" diagonal) LC-60LE661U, a 70" Class (69.5" diagonal) LC-70LE661U, and an 80" Class (80" diagonal) LC-80LE661U model which will debut at InfoComm this June.
These full HD, commercial LED smart TVs have cutting-edge picture technology that delivers exceptional detail, color depth and consistent image quality. In addition, the TVs offer RS-232C connectivity command where users are able to control multiple monitors simultaneously from connected devices. Based on the line's stylish features and unique capabilities, these smart TVs are designed for 16/7 use in retail stores, sports bars and conference room environments.
These models are available for Project Registration Pricing, as well as a limited on-site commercial three-year warranty coupled with Sharp's customer support service.
"Sharp saw a unique market opportunity and we're excited to unveil our new line of smart TVs for broader commercial and B2B applications," said Mike Marusic, senior vice president, Marketing and Operations, Sharp Imaging and Information Company of America. "We are proud to offer a product that combines the features of the award-winning AQUOS television line with the service and programs that our B2B customers have come to expect from Sharp."
The line combines full HD image quality and thin profiles with built-in digital tuners. The advanced pixel structure of the panels enables 4-million-to-1 dynamic contrast for deeper blacks and whiter whites. The smart TVs also feature the AquoMotion(TM) 240 Refresh Rate delivering upmost clarity during fast-motion scenes.
The 60" and 70" Class versions are available now to ship. The 80" will be available to ship late June of this year.
About Sharp Imaging and Information Company of America
Through U.S. B2B sales channels, Sharp is one of the top selling brands of Large Format Displays (55"+) and is the #1 selling brand of Large Format Commercial Displays integrated with Touch (55"+).* Sharp's professional and commercial displays are specially engineered for business applications and are offered in a wide range of sizes. From high-impact displays for digital signage, to our award-winning ultra-thin bezel video walls, to the innovative AQUOS BOARD(TM) interactive display systems, Sharp products help you communicate, collaborate and disseminate information brilliantly.
*According to Monthly Large Format Commercial Displays Report by DisplaySearch (now part of IHS), April 2015.
For more information on Sharp's business products, contact Sharp Electronics Corporation, 1 Sharp Plaza, Suite 1, Mahwah, N.J., 07495-1163. For online product information, visit our web site at http://siica.sharpusa.com.
Become a fan of SIICA on Facebook, follow us on Twitter and watch us on YouTube.
CONTACT: Sarah Sanzari
Peppercomm for Sharp
212.931.6175
SSanzari@peppercomm.com
SOURCE Sharp Imaging and Information Company of America (SIICA)
Photo:https://photos.prnewswire.com/prnh/20150601/219982 http://photoarchive.ap.org/
Photo:http://photos.prnewswire.com/prnh/20130611/NY28638LOGO http://photoarchive.ap.org/
Sharp Imaging and Information Company of America (SIICA)
Synaptics Brings New Functionality to PCs with SmartBar Technology
Industry First Solution Expands Company Portfolio for Desktop PC, Notebook Markets
SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Synaptics Inc. (NASDAQ: SYNA), the leading developer of human interface solutions, today announced the availability of its new SmartBar(TM) technology, further expanding the company's portfolio of innovative solutions into the untapped desktop PC market. Designed specifically for desktop PCs and notebooks, Synaptics' SmartBar technology is a first-to-market solution that adds unique touch gesture features to the keyboard space bar, providing OEMs with the ability to create and deploy products that enhance productivity and usability for consumers.
Early adopters, including Tt eSPORTS, the gaming accessory division of Thermaltake, benefit from the new capacitive sensing spacebar using Synaptics(®) exclusive SmartBar technology with finger-based gesture support. SmartBar offers a variety of innovative and programmable functionality to the underutilized spacebar. As the natural resting spot for the thumbs, users can now use SmartBar touch gestures for rapid editing of text, simplified zoom, or any number of customizable functions enabled by the provided macro editor. Speed and productivity are made possible with SmartBar in uses such as performance gaming and office programs.
Key SmartBar Features:
-- Rapid Editing - A single-thumb swipe gesture on the space bar can be
programmed to select an entire word - forwards or backwards in the
document - for rapid editing of text.
-- Effortless Zooming - A double-thumb pinch or expand gesture on the space
bar zooms in or out on a document or image for effortless zooming.
-- Programmable Logical Buttons - SmartBar can be configured with up to
five logical buttons, each of which can be programmed to execute a macro
using the included macro editor. This provides shortcuts to critical
game controls or repetitive desktop functions.
"Tt eSPORTS, with Synaptics' innovative SmartBar technology, is once again leading the industry with creative and exciting solutions that will benefit our customers," said Kenny Lin, chairman and CEO of Thermaltake. "Enabling keyboard spacebars with this powerful solution highlights our commitment to offering the most personalized and highest quality experience."
"Desktop PCs still represent a sizeable portion of the PC market, especially in the commercial segment, but most desktop users have been left behind in terms of next-generation interfaces such as touch," said Tom Mainelli, VP of Devices & Displays at International Data Corporation (IDC). "Companies are always looking for ways to help drive employee efficiency, and feature-rich, touch-enabled keyboards represent a straightforward, affordable way to help increase worker productivity."
"Synaptics has been expanding its offering within the PC industry and has seen significant traction among OEMs and ODMs for our innovative SmartBar technology," said Huibert Verhoeven, senior vice president and general manager, Human Interface Systems Division (HISD), Synaptics. "Integrating our touch and biometrics technology into the desktop market is a natural extension of our solutions, and just the next step in our mission to further advance the human interface revolution."
Availability:
SmartBar is available now for OEM integration.
About Synaptics:
Synaptics is the pioneer and leader of the human interface revolution, bringing innovative and intuitive user experiences to intelligent devices. Synaptics' broad portfolio of touch, display, and biometrics products is built on the company's rich R&D and supply chain capabilities. With solutions designed for mobile, PC and automotive industries, Synaptics combines ease of use, functionality and aesthetics to enable products that help make our digital lives more productive, secure and enjoyable. (NASDAQ: SYNA) http://www.synaptics.com.
Follow Synaptics on Twitter and LinkedIn, or visit synaptics.com.
Synaptics, SmartBar and the Synaptics logo are trademarks of Synaptics in the United States and/or other countries. All other marks are the property of their respective owners.
Cadence Introduces Genus Synthesis Solution, Delivering Up to 10X Improvement in RTL Design Productivity
Massively parallel architecture scales linearly beyond 10M instances while improving power, performance and area
SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Cadence Design Systems, Inc. (NASDAQ: CDNS) today unveiled the Cadence® Genus(TM) Synthesis Solution, its next-generation register-transfer level (RTL) synthesis and physical synthesis engine, to address the productivity challenges faced by RTL designers. Genus Synthesis Solution incorporates a multi-level massively parallel architecture that delivers up to 5X faster synthesis turnaround times and scales linearly beyond 10M instances. In addition, the tool's new physically aware context-generation capability can reduce iterations between unit- and chip-level synthesis by 2X or more. This powerful combination enables up to 10X improvement in RTL design productivity.
Key Genus Synthesis Solution features and capabilities include:
-- Massively parallel architecture - The tool performs timing-driven
distributed synthesis of a design across multiple cores and machines.
All key steps in the synthesis flow leverage both multiple machines and
multiple CPU cores per machine.
-- Physically aware context generation - The complete timing and physical
context for any subset of a design can be extracted and used to drive
RTL unit-level synthesis with full consideration of chip-level timing
and placement, significantly reducing iterations between chip-level and
unit-level synthesis runs.
-- Unified global routing with Innovus(TM) Implementation System - Genus
Synthesis Solution and Cadence Innovus Implementation System, a
next-generation physical implementation solution, share an enhanced 4X
faster timing-driven global router that enables tight correlation of
both timing and wirelength to within 5 percent from synthesis to place
and route.
-- Global analytical architecture-level PPA optimization - The solution
incorporates a new datapath optimization engine that concurrently
considers many different datapath architectures across the whole design
and then leverages an analytical solver to pick the architectures that
achieve the globally optimal PPA. This engine delivers up to 20 percent
reduction in datapath area without any impact on performance.
"Processors for automotive and industrial markets are driving higher-levels of integration and complexity. This requires larger design partitions to deliver the efficiencies and time-to-market demanded by our customers," said Anthony Hill, Director of Processor Technology, Texas Instruments (TI). "The highly-scalable Genus Synthesis Solution from Cadence has enabled more than a 5x improvement in turnaround time, enabling us to realize production-quality timing-driven synthesis of up to three-million instance partitions in less than eight hours."
"With Genus Synthesis Solution, we see a significant opportunity to improve RTL design productivity and make more aggressive architecture-level optimizations to improve PPA," said Dr. Anirudh Devgan, senior vice president and general manager of the Digital & Signoff Group at Cadence. "Early customers are already deploying the solution in their RTL design flows and reporting significantly better turnaround times and throughput compared to competing solutions."
About Cadence
Cadence enables global electronic design innovation and plays an essential role in the creation of today's integrated circuits and electronics. Customers use Cadence software, hardware, IP and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers and research facilities around the world to serve the global electronics industry. More information about the company, its products and its services is available at http://www.cadence.com.
World's Most Anticipated Game in Development at Bethesda Game Studios
Full Details to be Unveiled on June 14th at Bethesda's E3 Showcase
ROCKVILLE, Md., June 3, 2015 /PRNewswire/ -- Bethesda Softworks®, a ZeniMax® Media company, today confirmed the upcoming global release of Fallout® 4, the next generation of open-world gaming. The world premiere of the game will take place during Bethesda's E3 Showcase being held at the Dolby Theatre in Hollywood, California on June 14(th) beginning at 7pm PST, and streamed live around the world via Twitch and YouTube.
Fallout 4 is being developed for Xbox One, PlayStation® 4 computer entertainment system and PC by award-winning Bethesda Game Studios® under the direction of Todd Howard. This eagerly-awaited game is the follow up to the 2008 'Game of the Year', Fallout® 3, and the first title from the world-renowned studio since the release of their global phenomenon and 2011 'Game of the Year', The Elder Scrolls V: Skyrim®.
"We know what this game means to everyone," said Game Director, Todd Howard, "The time and technology have allowed us to be more ambitious than ever. We've never been more excited about a game, and we can't wait to share it."
The game's official trailer, created in-game at Bethesda Game Studios, can be viewed at: http://www.fallout4.com.
Fallout 4 has not yet been rated by the ESRB.
About ZeniMax Media Inc.
ZeniMax Media is a privately owned media organization headquartered outside Washington DC with international publishing offices in London, Paris, Frankfurt, Eindhoven, Hong Kong, Tokyo, and Sydney. Through its subsidiaries, ZeniMax Media creates and publishes original interactive entertainment content for consoles, the PC, and handheld/wireless devices. ZeniMax Media divisions include Bethesda Softworks, Bethesda Game Studios, id Software, Arkane Studios, Tango Gameworks, MachineGames, Battlecry Studios, ZeniMax Online Studios, ZeniMax Europe Ltd., ZeniMax Asia K.K., ZeniMax Asia Pacific Limited, and ZeniMax Australia Pty Ltd. For more information on ZeniMax Media, visit http://www.zenimax.com.
About Bethesda Softworks
Bethesda Softworks, part of the ZeniMax Media Inc. family of companies, is a worldwide publisher of interactive entertainment software. Titles featured under the Bethesda label include such blockbuster franchises as The Elder Scrolls®, DOOM®, QUAKE®, Fallout®, Wolfenstein®, Dishonored®, The Evil Within(TM), Prey® and RAGE®. For more information on Bethesda Softworks' products, visit http://www.bethsoft.com.
About Bethesda Game Studios
Bethesda Game Studios is the award-winning development studio known around the world for its groundbreaking work on The Elder Scrolls series and the Fallout series. Creators of the 2006 'Game of the Year', The Elder Scrolls IV: Oblivion®, the 2008 'Game of the Year', Fallout® 3, and most recently the 2011 'Game of the Year', The Elder Scrolls V: Skyrim®, Bethesda Game Studios has earned its reputation as one of the industry's most respected and accomplished game development studios. For more information on Bethesda Game Studios, visit bgs.bethsoft.com.
The Elder Scrolls, Skyrim, Bethesda Game Studios, Dishonored, Arkane, The Evil Within, PsychoBreak, Tango, Tango Gameworks, BATTLECRY, Battlecry Studios, MachineGames, Prey, Bethesda Softworks, Bethesda, ZeniMax and their related logos are registered trademarks or trademarks of ZeniMax Media Inc. in the United States and/or in other countries. Fallout and its related logos are registered trademarks or trademarks of Bethesda Softworks LLC in the United States and/or in other countries. id, id Software, id Tech, DOOM, QUAKE, Wolfenstein, RAGEand their related logos are registered trademarks or trademarks of id Software LLC in the United States and/or in other countries. Other product and company names referenced herein may be trademarks of their respective owners. All Rights Reserved.
Synaptics Brings New Functionality to PCs with SmartBar Technology
Industry First Solution Expands Company Portfolio for Desktop PC, Notebook Markets
SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Synaptics Inc. (NASDAQ: SYNA), the leading developer of human interface solutions, today announced the availability of its new SmartBar(TM) technology, further expanding the company's portfolio of innovative solutions into the untapped desktop PC market. Designed specifically for desktop PCs and notebooks, Synaptics' SmartBar technology is a first-to-market solution that adds unique touch gesture features to the keyboard space bar, providing OEMs with the ability to create and deploy products that enhance productivity and usability for consumers.
Early adopters, including Tt eSPORTS, the gaming accessory division of Thermaltake, benefit from the new capacitive sensing spacebar using Synaptics(®) exclusive SmartBar technology with finger-based gesture support. SmartBar offers a variety of innovative and programmable functionality to the underutilized spacebar. As the natural resting spot for the thumbs, users can now use SmartBar touch gestures for rapid editing of text, simplified zoom, or any number of customizable functions enabled by the provided macro editor. Speed and productivity are made possible with SmartBar in uses such as performance gaming and office programs.
Key SmartBar Features:
-- Rapid Editing - A single-thumb swipe gesture on the space bar can be
programmed to select an entire word - forwards or backwards in the
document - for rapid editing of text.
-- Effortless Zooming - A double-thumb pinch or expand gesture on the space
bar zooms in or out on a document or image for effortless zooming.
-- Programmable Logical Buttons - SmartBar can be configured with up to
five logical buttons, each of which can be programmed to execute a macro
using the included macro editor. This provides shortcuts to critical
game controls or repetitive desktop functions.
"Tt eSPORTS, with Synaptics' innovative SmartBar technology, is once again leading the industry with creative and exciting solutions that will benefit our customers," said Kenny Lin, chairman and CEO of Thermaltake. "Enabling keyboard spacebars with this powerful solution highlights our commitment to offering the most personalized and highest quality experience."
"Desktop PCs still represent a sizeable portion of the PC market, especially in the commercial segment, but most desktop users have been left behind in terms of next-generation interfaces such as touch," said Tom Mainelli, VP of Devices & Displays at International Data Corporation (IDC). "Companies are always looking for ways to help drive employee efficiency, and feature-rich, touch-enabled keyboards represent a straightforward, affordable way to help increase worker productivity."
"Synaptics has been expanding its offering within the PC industry and has seen significant traction among OEMs and ODMs for our innovative SmartBar technology," said Huibert Verhoeven, senior vice president and general manager, Human Interface Systems Division (HISD), Synaptics. "Integrating our touch and biometrics technology into the desktop market is a natural extension of our solutions, and just the next step in our mission to further advance the human interface revolution."
Availability:
SmartBar is available now for OEM integration.
About Synaptics:
Synaptics is the pioneer and leader of the human interface revolution, bringing innovative and intuitive user experiences to intelligent devices. Synaptics' broad portfolio of touch, display, and biometrics products is built on the company's rich R&D and supply chain capabilities. With solutions designed for mobile, PC and automotive industries, Synaptics combines ease of use, functionality and aesthetics to enable products that help make our digital lives more productive, secure and enjoyable. (NASDAQ: SYNA) http://www.synaptics.com.
Follow Synaptics on Twitter and LinkedIn, or visit synaptics.com.
Synaptics, SmartBar and the Synaptics logo are trademarks of Synaptics in the United States and/or other countries. All other marks are the property of their respective owners.
SHENZHEN, China, June 3, 2015 /PRNewswire/ -- Shenzhen mobile phone manufacturer MIJUE Technology will launch the world's first $225 or less high-profile phone MIJUE T500 to global market on June 3. Push-Style fingerprint Identification technology previously has been used in iPhone 5s / 6/ 6 Plus and Mate 7 and other models, and the price of those models is mostly more than $500. MIJUE T500 equipped with fingerprint Identification technology has thus pushed android phones in the most favorable, and its prices will allow more consumers to experience the fingerprint Identification technology. Front flashlight is one of the highlights of MIJUE T500, which can satisfy some who like the self-timer at any time, anywhere to use self-timer. So MIJUE T500 is considered among the best in the mobile phone market. In addition, MIJUE T500, equipped with 3GB RAM memory, can meet the need of game-lovers who wish the game can run smoothly and even big game is not a problem.
Compared with equal value smartphones, MIJUE T500 is the most top-level configuration.
For the current mobile phone market, MIJUE T500 is equipped with fingerprint Identification technology to achieve a leading position in the industry, and taking into account the user experience and security. MIJUE T500 4G mobile phone is powered by MTK6752 1.7GHz 64 bits Cortex-A53 eight-core CPU, with 16GB ROM and 3GB of memory to run. The smart phone has a 5.5 inches FHD touch screen in 1920 Ã 1080 pixels. It has a 5 mega pixel front camera, and rear 13 million pixel camera (SONY) and both front and rear with flashlight. Running on Android 5.0 system, the model supports 2G, 3G and 4G networks. It uses a large-capacity 3,500mAh battery which provides backup power to the phone.
MIJUE CEO Jack Huang believes that the quality of the experience is the brand of soul of MIJUE. The high degree of product quality control is MIJUE's foundation to survive on the international market. Meanwhile, MIJUE has achieved more and more experience reputation by a strong after-sales support and services. MIJUE is setting up more specialized point of sale all over the world. After-sales service in place in time for the accumulation of long-term development of the reputation of the brand can provide a solid backing.
MIJUE on the one hand will do adequate research and analysis of the market and explore the needs of foreign customers, in order to further improve their product. On the other hand, all products are subject to stringent testing of high standards and high-tech quality control processes to ensure that every user of the product is the perfect experience.
Consumers can now pass through the global sell-speed, ebay, tinydeal, gearbest other major cross-border electronic business b2c platform to purchase MIJUE T500. MIJUE CEO Jack Huang said: "B2C platforms are increasingly important, and they will be one of the MIJUE's best marketing platforms, which also become an important channel for MIJUE globalization of markets."
ABOUT MIJUE
MIJUE Technology Co., Ltd. (Shenzhen) was established in 2003. Headquartered in Shenzhen, China, with offices worldwide, it is China's leading manufacturer of mobile intelligent terminal products, and has adopted a global hi-tech technology dual SIM card in smartphone and becomes worldwide famous. With specialized R & D, MIJUE is manufacturing and selling various mobile intelligent terminal products. Our elite R & D team in the smart phone has many years of experience and advanced technology. Professional supply chain and strict quality control make MIJUE gaining more and more market share and good reputation. MIJUE phone products through OEM have been exported to more than 30 countries. MIJUE has quickly developed into a pan-European online reseller popular choice. Not only can MIJUE own the majority of sales in China, but also across Eastern and Western Europe with large-scale distribution network.
World's Most Anticipated Game in Development at Bethesda Game Studios
Full Details to be Unveiled on June 14th at Bethesda's E3 Showcase
ROCKVILLE, Md., June 3, 2015 /PRNewswire/ -- Bethesda Softworks®, a ZeniMax® Media company, today confirmed the upcoming global release of Fallout® 4, the next generation of open-world gaming. The world premiere of the game will take place during Bethesda's E3 Showcase being held at the Dolby Theatre in Hollywood, California on June 14(th) beginning at 7pm PST, and streamed live around the world via Twitch and YouTube.
Fallout 4 is being developed for Xbox One, PlayStation® 4 computer entertainment system and PC by award-winning Bethesda Game Studios® under the direction of Todd Howard. This eagerly-awaited game is the follow up to the 2008 'Game of the Year', Fallout® 3, and the first title from the world-renowned studio since the release of their global phenomenon and 2011 'Game of the Year', The Elder Scrolls V: Skyrim®.
"We know what this game means to everyone," said Game Director, Todd Howard, "The time and technology have allowed us to be more ambitious than ever. We've never been more excited about a game, and we can't wait to share it."
The game's official trailer, created in-game at Bethesda Game Studios, can be viewed at: http://www.fallout4.com.
Fallout 4 has not yet been rated by the ESRB.
About ZeniMax Media Inc.
ZeniMax Media is a privately owned media organization headquartered outside Washington DC with international publishing offices in London, Paris, Frankfurt, Eindhoven, Hong Kong, Tokyo, and Sydney. Through its subsidiaries, ZeniMax Media creates and publishes original interactive entertainment content for consoles, the PC, and handheld/wireless devices. ZeniMax Media divisions include Bethesda Softworks, Bethesda Game Studios, id Software, Arkane Studios, Tango Gameworks, MachineGames, Battlecry Studios, ZeniMax Online Studios, ZeniMax Europe Ltd., ZeniMax Asia K.K., ZeniMax Asia Pacific Limited, and ZeniMax Australia Pty Ltd. For more information on ZeniMax Media, visit http://www.zenimax.com.
About Bethesda Softworks
Bethesda Softworks, part of the ZeniMax Media Inc. family of companies, is a worldwide publisher of interactive entertainment software. Titles featured under the Bethesda label include such blockbuster franchises as The Elder Scrolls®, DOOM®, QUAKE®, Fallout®, Wolfenstein®, Dishonored®, The Evil Within(TM), Prey® and RAGE®. For more information on Bethesda Softworks' products, visit http://www.bethsoft.com.
About Bethesda Game Studios
Bethesda Game Studios is the award-winning development studio known around the world for its groundbreaking work on The Elder Scrolls series and the Fallout series. Creators of the 2006 'Game of the Year', The Elder Scrolls IV: Oblivion®, the 2008 'Game of the Year', Fallout® 3, and most recently the 2011 'Game of the Year', The Elder Scrolls V: Skyrim®, Bethesda Game Studios has earned its reputation as one of the industry's most respected and accomplished game development studios. For more information on Bethesda Game Studios, visit bgs.bethsoft.com.
The Elder Scrolls, Skyrim, Bethesda Game Studios, Dishonored, Arkane, The Evil Within, PsychoBreak, Tango, Tango Gameworks, BATTLECRY, Battlecry Studios, MachineGames, Prey, Bethesda Softworks, Bethesda, ZeniMax and their related logos are registered trademarks or trademarks of ZeniMax Media Inc. in the United States and/or in other countries. Fallout and its related logos are registered trademarks or trademarks of Bethesda Softworks LLC in the United States and/or in other countries. id, id Software, id Tech, DOOM, QUAKE, Wolfenstein, RAGEand their related logos are registered trademarks or trademarks of id Software LLC in the United States and/or in other countries. Other product and company names referenced herein may be trademarks of their respective owners. All Rights Reserved.
World's Most Anticipated Game in Development at Bethesda Game Studios
Full Details to be Unveiled on June 14th at Bethesda's E3 Showcase
ROCKVILLE, Md., June 3, 2015 /PRNewswire/ -- Bethesda Softworks®, a ZeniMax® Media company, today confirmed the upcoming global release of Fallout® 4, the next generation of open-world gaming. The world premiere of the game will take place during Bethesda's E3 Showcase being held at the Dolby Theatre in Hollywood, California on June 14(th) beginning at 7pm PST, and streamed live around the world via Twitch and YouTube.
Fallout 4 is being developed for Xbox One, PlayStation® 4 computer entertainment system and PC by award-winning Bethesda Game Studios® under the direction of Todd Howard. This eagerly-awaited game is the follow up to the 2008 'Game of the Year', Fallout® 3, and the first title from the world-renowned studio since the release of their global phenomenon and 2011 'Game of the Year', The Elder Scrolls V: Skyrim®.
"We know what this game means to everyone," said Game Director, Todd Howard, "The time and technology have allowed us to be more ambitious than ever. We've never been more excited about a game, and we can't wait to share it."
The game's official trailer, created in-game at Bethesda Game Studios, can be viewed at: http://www.fallout4.com.
Fallout 4 has not yet been rated by the ESRB.
About ZeniMax Media Inc.
ZeniMax Media is a privately owned media organization headquartered outside Washington DC with international publishing offices in London, Paris, Frankfurt, Eindhoven, Hong Kong, Tokyo, and Sydney. Through its subsidiaries, ZeniMax Media creates and publishes original interactive entertainment content for consoles, the PC, and handheld/wireless devices. ZeniMax Media divisions include Bethesda Softworks, Bethesda Game Studios, id Software, Arkane Studios, Tango Gameworks, MachineGames, Battlecry Studios, ZeniMax Online Studios, ZeniMax Europe Ltd., ZeniMax Asia K.K., ZeniMax Asia Pacific Limited, and ZeniMax Australia Pty Ltd. For more information on ZeniMax Media, visit http://www.zenimax.com.
About Bethesda Softworks
Bethesda Softworks, part of the ZeniMax Media Inc. family of companies, is a worldwide publisher of interactive entertainment software. Titles featured under the Bethesda label include such blockbuster franchises as The Elder Scrolls®, DOOM®, QUAKE®, Fallout®, Wolfenstein®, Dishonored®, The Evil Within(TM), Prey® and RAGE®. For more information on Bethesda Softworks' products, visit http://www.bethsoft.com.
About Bethesda Game Studios
Bethesda Game Studios is the award-winning development studio known around the world for its groundbreaking work on The Elder Scrolls series and the Fallout series. Creators of the 2006 'Game of the Year', The Elder Scrolls IV: Oblivion®, the 2008 'Game of the Year', Fallout® 3, and most recently the 2011 'Game of the Year', The Elder Scrolls V: Skyrim®, Bethesda Game Studios has earned its reputation as one of the industry's most respected and accomplished game development studios. For more information on Bethesda Game Studios, visit bgs.bethsoft.com.
The Elder Scrolls, Skyrim, Bethesda Game Studios, Dishonored, Arkane, The Evil Within, PsychoBreak, Tango, Tango Gameworks, BATTLECRY, Battlecry Studios, MachineGames, Prey, Bethesda Softworks, Bethesda, ZeniMax and their related logos are registered trademarks or trademarks of ZeniMax Media Inc. in the United States and/or in other countries. Fallout and its related logos are registered trademarks or trademarks of Bethesda Softworks LLC in the United States and/or in other countries. id, id Software, id Tech, DOOM, QUAKE, Wolfenstein, RAGEand their related logos are registered trademarks or trademarks of id Software LLC in the United States and/or in other countries. Other product and company names referenced herein may be trademarks of their respective owners. All Rights Reserved.
Synaptics Brings New Functionality to PCs with SmartBar Technology
Industry First Solution Expands Company Portfolio for Desktop PC, Notebook Markets
SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Synaptics Inc. (NASDAQ: SYNA), the leading developer of human interface solutions, today announced the availability of its new SmartBar(TM) technology, further expanding the company's portfolio of innovative solutions into the untapped desktop PC market. Designed specifically for desktop PCs and notebooks, Synaptics' SmartBar technology is a first-to-market solution that adds unique touch gesture features to the keyboard space bar, providing OEMs with the ability to create and deploy products that enhance productivity and usability for consumers.
Early adopters, including Tt eSPORTS, the gaming accessory division of Thermaltake, benefit from the new capacitive sensing spacebar using Synaptics(®) exclusive SmartBar technology with finger-based gesture support. SmartBar offers a variety of innovative and programmable functionality to the underutilized spacebar. As the natural resting spot for the thumbs, users can now use SmartBar touch gestures for rapid editing of text, simplified zoom, or any number of customizable functions enabled by the provided macro editor. Speed and productivity are made possible with SmartBar in uses such as performance gaming and office programs.
Key SmartBar Features:
-- Rapid Editing - A single-thumb swipe gesture on the space bar can be
programmed to select an entire word - forwards or backwards in the
document - for rapid editing of text.
-- Effortless Zooming - A double-thumb pinch or expand gesture on the space
bar zooms in or out on a document or image for effortless zooming.
-- Programmable Logical Buttons - SmartBar can be configured with up to
five logical buttons, each of which can be programmed to execute a macro
using the included macro editor. This provides shortcuts to critical
game controls or repetitive desktop functions.
"Tt eSPORTS, with Synaptics' innovative SmartBar technology, is once again leading the industry with creative and exciting solutions that will benefit our customers," said Kenny Lin, chairman and CEO of Thermaltake. "Enabling keyboard spacebars with this powerful solution highlights our commitment to offering the most personalized and highest quality experience."
"Desktop PCs still represent a sizeable portion of the PC market, especially in the commercial segment, but most desktop users have been left behind in terms of next-generation interfaces such as touch," said Tom Mainelli, VP of Devices & Displays at International Data Corporation (IDC). "Companies are always looking for ways to help drive employee efficiency, and feature-rich, touch-enabled keyboards represent a straightforward, affordable way to help increase worker productivity."
"Synaptics has been expanding its offering within the PC industry and has seen significant traction among OEMs and ODMs for our innovative SmartBar technology," said Huibert Verhoeven, senior vice president and general manager, Human Interface Systems Division (HISD), Synaptics. "Integrating our touch and biometrics technology into the desktop market is a natural extension of our solutions, and just the next step in our mission to further advance the human interface revolution."
Availability:
SmartBar is available now for OEM integration.
About Synaptics:
Synaptics is the pioneer and leader of the human interface revolution, bringing innovative and intuitive user experiences to intelligent devices. Synaptics' broad portfolio of touch, display, and biometrics products is built on the company's rich R&D and supply chain capabilities. With solutions designed for mobile, PC and automotive industries, Synaptics combines ease of use, functionality and aesthetics to enable products that help make our digital lives more productive, secure and enjoyable. (NASDAQ: SYNA) http://www.synaptics.com.
Follow Synaptics on Twitter and LinkedIn, or visit synaptics.com.
Synaptics, SmartBar and the Synaptics logo are trademarks of Synaptics in the United States and/or other countries. All other marks are the property of their respective owners.
Beyond Zero And Winston Industries Sign Global Partnership To Manufacture Liquor Freezing Ice Makers
LOUISVILLE, Ky., June 3, 2015 /PRNewswire/ -- Beyond Zero and Winston Industries Announces an Exclusive Partnership to showcase a new, disruptive technology - an icemaker that freezes liquor. This is the world's first self-contained machine that enables users to make liquor ice cubes to cool drinks, eliminating the need for drink-diluting ice. The technology makes any cocktail colder, smoother and stronger, while enhancing the flavor notes of both wine and spirits. This landmark partnership will solve key industry challenges such as "watered down drinks" and "portion control" while sparking true industry innovation with an entirely new category of drinking -- In The Rocks!
Every 20 to 30 years technology evolves and new categories of drinking are created. The Beyond Zero Ice Maker will redefine the spirits industry. Beyond Zero's President and Inventor Jason Sherman stated, "The machine gives customers what they demand, a superior drink. It allows anyone to create signature cocktails, a key component to increase profits at restaurants, bars, nightclubs, hotels, casinos and other venues where alcohol is consumed. It's a win for everyone when you don't water it down!"
"Beyond Zero has created a new class of drinking and we are now part of introducing a new revolution for beverage enthusiasts just like we have done in years past with new classes of cooking. We are excited about this new venture and the opportunities that exist alongside Beyond Zero. Our goal is to revolutionize the consumer beverage experience on a global level, "said Valerie Shelton, CEO of Winston Industries.
The machine will be mass-produced in Louisville, Kentucky by Winston Industries. The partnership calls for building a Single Serving Maker, Storage Device to inventory multiple flavors of cubes and a Fully Automatic Machine that makes, stores and dispenses the liquor cubes.
About Beyond Zero
Beyond Zero is based in Louisville, KY. Founded by Jason Sherman, the focus for Beyond Zero is creating ice machines that elevate the beverage experience. The company is concentrating its initial product line on the wine, spirits and beer industry with plans for a residential appliance version in the future. Also, in the pipeline is a revolutionary ice machine for the non-alcohol beverage industry that will tackle the health, water waste and energy consumption issues that plague existing icemakers. To learn more about Beyond Zero, visit http://www.bzice.com or email info@bzice.com
About Winston Industries
A lot of companies will tell you they "think outside the box." But that's not us - we never actually fit in the box to begin with. We've always enjoyed the position of being just a little different in our approach. Some have even called us a cult. We don't mind. In fact, we're sort of proud of it. Winston currently has two divisions, one which manufactures foodservice equipment and another PCB manufacturing facility called Win2uit. Winston is also in the early stages of launching its third division to provide metal fabrication services to other manufacturers. To learn more about Winston, visit http://www.winstonind.com or email info@winstonind.com.
LOS ANGELES, June 3, 2015 /PRNewswire/ -- Typo Innovations LLC, the keyboard and mobile accessories company, announced today that the Typo Keyboard and case for iPad Air and iPad Air 2 is now available exclusively online at gettypo.com, select Apple retail stores and later this month at http://www.apple.com.
The Typo for iPad Air and iPad Air 2 was designed to complement the iPad with a physical keyboard and adjustable stand. It is built for speed and efficiency, with a best-in-class Bluetooth keyboard and highly adjustable stand.
The Typo for iPad Air and iPad Air 2 has several unique features that make it stand out among other products in the category.
-- The ultra-thin keyboard module measures just 5.5mm thick and utilizes
Bluetooth Low Energy for an extended battery life.
-- The keyboard features full-size keys with longer key travel, creating a
pleasant "laptop-like" typing experience.
-- The Typo also includes a built-in auto-correct functionality that
corrects certain commonly used contraction words, re-introduces the "."
tap-tap space functionality and capitalizes the first letter of a new
sentence.
-- The case provides protection for the iPad and contains a special
proprietary friction hinge, which allows the stand to be adjusted to
nearly any angle from 10-90 degrees without falling over.
-- The case is also connected to the keyboard with a magnet allowing for
users to either use it connected, or separate the two components for a
more comfortable typing experience.
For more information and to order the Typo for iPad Air, and iPad Air 2 please visit gettypo.com
ABOUT TYPO INNOVATIONS
Typo Innovations is a company dedicated to designing and manufacturing keyboard and other mobile accessories. Currently, the company has the Typo for iPad Air and iPad Air 2 in the marketplace. For more information visit gettypo.com
Atmel Powers World's First On-Cell Touchscreen with Capacitive Active Stylus on ASUS Tablet
Newly Launched ASUS Z300 On-cell Tablet Provides High Touch Performance and Perfect 'Pen-to-Paper' Writing Experience with Atmel maXTouch and maXStylus Controllers
SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Atmel(® )Corporation (NASDAQ: ATML), a leader in microcontroller (MCU) and touch technology solutions, today announced ASUS has selected Atmel's maXTouch® controllers to power the touchscreen and active stylus pen of its newly launched 10.1" Z300 tablet. The 10.1" Z300 tablet is the world's first on-cell touchscreen with capacitive active stylus pen support enabling a precise 'pen-to-paper' writing experience for more content generation on today's digital devices. On-cell displays enable thinner designs for next-generation mobile products.
The ASUS Z300 tablet uses one of the industry's most advanced capacitive styli, Atmel's maXStylus® mXTS220--the only active pen with noise immunity capable of operating in the high display noise environment emitted by ultra-thin on-cell stackups. The touchscreen on this tablet is powered by Atmel's maXTouch® T-series touchscreen controller, featuring a revolutionary sensing architecture that combines both mutual and self-capacitance to enhance performance. Atmel's maXStylus and maXTouch integrate seamlessly to create a flawless user experience in even the most demanding conditions.
"As a leading provider of innovative mobile devices for the worldwide market, ASUS continues to bring superior products to market," said Shar Narasimhan, Senior Product Manager of Touch Marketing, Atmel Corporation. "The selection of Atmel's maXTouch controllers for the industry's first 10.1" on-cell tablet with capacitive active stylus by ASUS is further testament that we are enabling OEMs to deliver leading-edge digital lifestyle products."
"As a leading manufacturer of mobile devices, our products are only built with world-class components," said Samson Hu, Corporate Vice President & GM of Mobile Product Business Unit. "Atmel's industry-leading stylus capabilities enabled us to deliver a much thinner on-cell display stack for more elegant designs with a best-in-class active pen experience. We look forward to launching more advanced devices with intuitive human interfaces powered by Atmel."
About Atmel
Atmel Corporation (NASDAQ: ATML) is a worldwide leader in the design and manufacture of microcontrollers, capacitive touch solutions, advanced logic, mixed-signal, nonvolatile memory and radio frequency (RF) components. Leveraging one of the industry's broadest intellectual property (IP) technology portfolios, Atmel is able to provide the electronics industry with intelligent and connected solutions focused on the industrial, automotive, consumer, communications and computing markets.
FCA US LLC Looks 20 Years Into the Future With SRT Tomahawk Vision Gran Turismo
Single-Seat Hybrid Powertrain Concept Vehicle to be Released Exclusively in Gran Turismo®6
AUBURN HILLS, Mich., June 2, 2015 /PRNewswire/ --
-- Engineered by the SRT (Street and Racing Technology) engineering team,
the SRT Tomahawk Vision Gran Turismo is the latest vehicle to be
released in Gran Turismo 6 as part of Polyphony Digital Inc.'s Vision
Gran Turismo collaboration with leading automotive manufacturers, design
houses and other leading global brands
-- Single-seat SRT Tomahawk based on a 20-year forward vision of existing
and future potential technologies
-- Aggressive, functional exterior design selected from sketch competition
open to entire FCA US design community
-- Three powerful versions - S, GTS-R and X - offering increasing levels of
performance and technology
-- Futuristic hybrid powertrain combines wide-angle V-10 with pneumatically
driven front wheels
-- Range-topping SRT Tomahawk Vision Gran Turismo X boasts 2,590 hp
combined
Making its debut today, FCA US LLC's latest concept car, the SRT Tomahawk Vision Gran Turismo, is a single-seat concept that pulls from existing and potential technologies to test the limits of performance. Gran Turismo®6 (GT6(TM)) fans can stretch those limits on the virtual track this summer when then the V-10, 2,590 combined horsepower SRT Tomahawk Vision Gran Turismo X launches exclusively in GT6 for the PlayStation®3 console.
The SRT Tomahawk Vision Gran Turismo is the latest addition to the Vision Gran Turismo project where automakers, design houses and leading brands around the globe showcase special concept vehicles exclusively in Gran Turismo®, one of the most popular video game franchises in history. Beginning summer 2015, players can access three powerful versions of the SRT Tomahawk Vision Gran Turismo by successfully completing online challenges of varying degrees of difficulty in GT6.
After completing the challenges, players will find the entry level SRT Tomahawk Vision Gran Turismo S, the racing version GTS-R and the experimental technology ultimate version X concept vehicles in the game's SRT garage.
"I'm truly happy that FCA and its performance division, SRT, have captured the spirit of the Vision Gran Turismo with the SRT Tomahawk Vision Gran Turismo," said Kazunori Yamauchi, creator of Gran Turismo and president of Polyphony Digital, Inc. "FCA designers took part in a design competition and the concept that was chosen is extreme in every way with its striking form, aerodynamic proportions, internal mechanisms and advanced driver's suit. Due to the radical design of the vehicle, our physics team had to develop new physics for Gran Turismo in order to represent the car properly in the game. We thank everyone at FCA who enjoyed the Vision Gran Turismo project and I am looking forward to the day when we can share the vision of SRT with Gran Turismo players."
Internal Design Competition Brings Multitude of Ideas
The extreme exterior look of the SRT Tomahawk Vision Gran Turismo was born from an internal design competition that sought a futuristic (2035) interpretation of a high-performance Dodge. But this single-seat car is more than just digital design fantasy.
"It doesn't take much to bring out the competitive spirit of the FCA US design team," said Ralph Gilles, Head of Design, Fiat Chrysler Automobiles N.V. "We have plenty of Gran Turismo fans in the room and every one of them has the same goal - win the race. Everything we've done with the SRT Tomahawk Vision Gran Turismo aims for that goal."
The popularity of video games among the members of the FCA US design studios meant that giving the GT6 assignment to one person or one studio was not an option. Everyone, from interns to seasoned designers, was invited to submit a sketch. As a result, designers worked on their sketches in their spare time, weekends and after hours producing a flood of proposals.
At the conclusion of the judging process, Paul Hoste was selected as the winner. Hoste, a young designer and new to FCA, grew up playing Gran Turismo. He was inspired by concept art and gravitated toward a design that had amped up, voluptuous curves that paid homage to iconic Dodge performance vehicles.
The overall shape of the SRT Tomahawk Vision Gran Turismo imparts a sense of movement and flow. The front view conveys an aggressive, almost menacing character, while the rear view takes on a bolder and powerful aesthetic with angular graphics and asymmetric look to the fenders.
All of the transparent elements, including the cockpit and engine cover, are made from ultra-light graphene skins. The driver views the SRT Tomahawk Vision Gran Turismo's instruments and communication system on a clear digital overlay on the graphene windshield.
A large air intake for engine cooling is mounted on the hood. Active panels mounted above the front and rear fenders are constantly moving, via pneumatic assist, to create the optimal amount of aerodynamic downforce for braking assist and traction under acceleration.
An overhead view of the SRT Tomahawk Vision Gran Turismo is marked by a "pinched-waist" silhouette. An exposed aluminum spine channels air to help cool the V-10 engine.
Curved, voluptuous surfacing was used to create a timeless design that is accented by functional NACA style air intakes on the front and large air intakes for engine and brake cooling on the side.
The rear of the SRT Tomahawk Vision Gran Turismo has been thoughtfully designed for high impact - as this is the primary view for the gamer (and his or her competitors) during play. A bold, carbon fiber graphic detail is prominent. Thin LED lights and five large exhaust ports are integrated in the rear diffuser to create an intimidating and planted look.
Hoste worked closely with SRT engineering to optimize the hard points and performance numbers of his design for function and aerodynamics.
A Virtual Car Engineered for the Real World
With a full set of engineering and performance specifications developed by the SRT engineering team at FCA US, the capabilities of the SRT Tomahawk Vision Gran Turismo are rooted in an effort to push performance just beyond the limits of known science.
The entire vehicle design, from the driver position to the nearly flat layout of the V-10 engine, is intended to achieve the lowest possible center of gravity. The SRT Tomahawk Vision Gran Turismo was engineered and developed to the same uncompromising standards as any SRT vehicle on the road today. SRT engineers also worked in their spare time and on weekends to create three extremely fast cars that test the limits of human physiology.
"We had fun stretching our minds to create the SRT Tomahawk Vision Gran Turismo for GT6. The game places a high emphasis on capturing reality in a virtual environment; our goal was to respect that philosophy by focusing on plausible future technologies that could achieve our vision of extreme performance," said Mike Shinedling, Manager - Advanced Concepts, SRT Engineering, FCA US LLC. "Everyone can appreciate an exquisite design and something that is beautifully engineered; when those two things are brought together in a fusion of cooperation, there is nothing more exciting."
Key engineering features of the SRT Tomahawk Vision Gran Turismo include:
-- Ultra-lightweight materials used in the chassis and body work result in
a car that weighs just 1,658 pounds in its ultimate performance
configuration - just slightly heavier than a current day Formula One
race car.
-- Rear wheels are powered by a wide-angle (144 degrees) V-10 engine that
delivers up to 2,168 horsepower.
-- Front wheels are pneumatically driven independently. Combined with the
V-10 powertrain, the SRT Tomahawk Vision Gran Turismo boasts up to 2,590
hp. Maximum performance version the SRT Tomahawk Vision Gran Turismo
rolls with 1.56 hp per pound.
-- In addition to the front wheel power unit, pressurized air drives
fast-actuating aerodynamic body panels for enhanced braking/traction
performance, and activates driver's G-suit during extreme maneuvers.
Pressurized air is stored in tanks integrated into SRT Tomahawk Vision
Gran Turismo's chassis to negate any weight penalty.
Three Performance Levels
The SRT Tomahawk Vision Gran Turismo comes in three variations:
-- Entry-level S: Game players must first master the base version of the
SRT Tomahawk Vision Gran Turismo. The 7.0-liter wide-angle V-10 is tuned
to deliver 792 hp (compared with 645 hp for the 8.4-liter V-10 in the
2015 Dodge Viper). The pneumatically driven front wheels add 215 hp, for
total system output of 1,007 hp. With a curb weight of 2,026 pounds,
this version of the SRT Tomahawk Vision Gran Turismo is the heaviest,
yet it has a top speed in excess of 250 mph.
-- Racing version GTS-R: Race tuning pushes output of the V-10 to 1,137 hp,
while the air-driven front wheels add 313 hp for total system output of
1,450 hp. Aggressive weight-cutting techniques whittle the curb weight
of the Tomahawk Vision Gran Turismo GTS-R to 1,459 pounds (the lightest
of any version) and the top speed in excess of 300 mph.
-- Experimental technology ultimate version X: This is the ultimate
Tomahawk Vision Gran Turismo. With a redline set at 14,500 rpm, the V-10
engine pumps out 2,168 hp (nearly three times the output from the base
Tomahawk Vision Gran Turismo S) The front wheel drivetrain contributes
an additional 422 hp at peak, for total output of 2,590 hp. That gives
the Tomahawk Vision Gran Turismo X a top speed of 404 mph. Due to the
extreme performance of the X version, the driver is required to wear a
G-suit for protection.
The single-seat, mid-engine, all-wheel-drive SRT Tomahawk Vision Gran Turismo uses a purpose-built composite chassis. The chassis uses emerging and advanced materials, including hollow carbon nanofibers and graphene micro-lattice structures. Filament-wound pneumatic cylinders are integral structural elements laminated into the chassis.
To assist in cooling the carbon brake disks, the pneumatic front power unit builds up a "chill-sink" with expanding air during power delivery. This chill-sink is used to deliver required brake cooling with a minimal amount of air flow, thus greatly reducing aerodynamic drag.
In order to withstand the massive cornering and down force loads, next-generation compounds and construction were used to create the tires. The on-board pneumatic system continuously monitors and adjusts tire pressures according to the vehicle demands.
Charge, Recover, Release
While the V-10 engine drives the rear wheels, the SRT Tomahawk Vision Gran Turismo's front wheels are linked to a variable-fin quad-stage pneumatic power unit that can quickly store and release energy. When the Tomahawk Vision Gran Turismo's brakes are activated, or the V-10 engine is not at max power, the pneumatic power unit compresses air into the long, composite tanks that are structural members of the chassis. The compressed air is released to drive the front wheels, power the Tomahawk Vision Gran Turismo active aero panels and pressurize the driver's G-suit in the Tomahawk Vision Gran Turismo X.
Pneumatic energy is generated in three ways:
-- Pre-race full charge
-- Braking re-generation charge via independent power units at each front
wheel
-- Engine charge during braking and as part of the stability control
system. During hard corner-exit and launch acceleration, when wheel spin
is detected, excess available power is pulled from the engine via a
third power unit
Pneumatic energy is released in five primary ways:
-- Front-wheel drive
-- Fast actuation of aerodynamic panels
-- Charging the variable spring rate suspension system
-- Wake modification for low drag, high speed runs on long straights
(Nordschleife Dottinger-Hohe, Mulsanne Straight, Bonneville Salt
Flats/LSR)
-- Pressurization of driver G-suit
Aggressive Aerodynamics
SRT engineers called for an aggressive aerodynamic strategy to manage airflow at extreme speeds. The Tomahawk Vision Gran Turismo's aerodynamic system reacts to inputs from a forward scanning laser system that detects surface changes. The computer controls anticipate changes in vehicle ride height, pitch and yaw and adjust the splitter accordingly.
The SRT Tomahawk Vision Gran Turismo not only generates a tremendous amount of downforce, it also generates yaw force, normally seen in aircraft. There are nine active aerodynamic panels and two front splitters that actively steer the car through the air and help the vehicle corner at extreme speeds. These aerodynamic features are constantly adjusting to track conditions and driver inputs in order to provide the highest level of down force when needed. However, in a top speed run, the panels can be tucked to create a slippery, low-drag shape.
The V-10's exhaust system is routed to the rear diffuser in a series of paired runners. Flow from the exhaust works in conjunction with the active aerodynamic system to provide an acceleration of underbody flow at the rear of the car and create a blown diffuser effect that increases down force without a negative effect on drag.
Pneumatics also drive the Tomahawk Vision Gran Turismo's suspension. The car rides on a set of variable-rate pneumatic springs with adjustable jounce and rebound damping. A revolutionary active camber system adjusts the wheel knuckles to "lean" the vehicle into turns, with each wheel angling outboard or inboard to maintain the optimum tire contact patch and highest possible mechanical grip.
About the Gran Turismo® Franchise
Gran Turismo® celebrated its 15th anniversary in 2013, having first appeared internationally in 1998. The multi-award-winning franchise has been the most successful ever for PlayStation®, and in 2013 it also surpassed worldwide sales of 70 million units. Various iterations of Gran Turismo have been created for PlayStation® , PlayStation®2, PlayStation®3 and PSP (PlayStation®Portable) systems, and have always been regarded as the best and most authentic driving simulators ever created due to true-to-life graphics, authentic physics technology and design. Since the inception of Gran Turismo, famed creator Kazunori Yamauchi and Polyphony Digital Inc. in Japan have revolutionized the racing category as we know it today. His offerings provide the most realistic driving simulation in the industry and a unique medium for automotive manufacturers to showcase their products. Gran Turismo®6, the latest iteration of the game, was released on December 6, 2013.
About FCA US LLC
FCA US LLC is a North American automaker with a new name and a long history. Headquartered in Auburn Hills, Michigan, FCA US is a member of the Fiat Chrysler Automobiles N.V. (FCA) family of companies. FCA US designs, engineers, manufactures and sells vehicles under the Chrysler, Jeep, Dodge, Ram and FIAT brands as well as the SRT performance vehicle designation. The company also distributes the Alfa Romeo 4C model and Mopar products. FCA US is building upon the historic foundations of Chrysler, the innovative American automaker first established by Walter P. Chrysler in 1925; and Fiat, founded in Italy in 1899 by pioneering entrepreneurs, including Giovanni Agnelli.
FCA, the seventh-largest automaker in the world based on total annual vehicle sales, is an international automotive group. FCA is listed on the New York Stock Exchange under the symbol "FCAU" and on the Mercato Telematico Azionario under the symbol "FCA."
Connect sensors to the cloud in less than three minutes with TI's new SimpleLink(TM) SensorTag
10 sensors with Bluetooth® Smart, 6LoWPAN or ZigBee® connectivity for just $29
DALLAS, June 3, 2015 /PRNewswire/ -- Texas Instruments (TI) (NASDAQ: TXN) introduces the next-generation SimpleLink(TM) SensorTag, a new development kit that enables easy and quick integration of sensor data with wireless cloud connectivity. The new SensorTags jump start development for the Internet of Things (IoT) through features including:
-- Flexible development with multiple wireless connectivity options
including Bluetooth(®) low energy, 6LoWPAN and ZigBee(®) available
today based on the SimpleLink ultra-low power CC2650 wireless
microcontroller (MCU)
-- 10 integrated low-power sensors with the industry's lowest power
consumption for multi-year battery life
-- New DevPack plug-in modules that extend the kits' functionality and
programmability
-- Out of the box capabilities with a free iOS or Android app, no
programming experience is required to get started
-- Connect to the cloud in less than three minutes through TI's IoT cloud
ecosystem including IBM's Bluemix IoT Foundation
-- Available TI Design reference designs, including 3D print files of the
SensorTag enclosures, that allow reuse of the SensorTags as a starting
point for your own designs
-- A Wi-Fi(®) demo-version of the SensorTag will be introduced soon
"Key to helping customers derive value from the Internet of Things is simplifying the connection of IoT devices to business applications. The new SimpleLink SensorTag from TI with their rapid connection to IBM Bluemix and Internet of Things Foundation is a big step forward in enabling this and allows customers to rapidly drive business benefits from IoT projects," said Jack Desjardins, VP Ecosystem Alliances, IBM Internet of Things.
On top of cloud connectivity, the new SensorTag ecosystem makes development even easier than before. The SensorTag kits come with ready-to-use protocol stacks, free Code Composer Studio(TM) integrated development environment (IDE) license, online training and 24/7 online TI E2E(TM) community support. In addition, TI's cloud-based software development tools provide instant access to examples, documentation, software and even an integrated development environment (IDE) all from the convenience of the web.
Support for multiple wireless connectivity standards
Expanding the standards supported by the SensorTag, there will be two different development kit versions:
-- The multi-standard SensorTag, based on the SimpleLink ultra-low power
CC2650 wireless MCU, supports development for Bluetooth Smart, 6LoWPAN
and ZigBee. This SensorTag has a unique feature that allows developers
to change between different 2.4 GHz technologies by simply loading new
software images directly from the SensorTag app over-the-air. When the
SensorTag is used as a ZigBee and 6LoWPAN device, it connects to the
cloud through a low-cost BeagleBone Black gateway. For Bluetooth Smart
development, it connects to the cloud via a smartphone.
-- The Wi-Fi SensorTag will allow users to demo the SimpleLink CC3200
wireless MCU. Further details and availability information will be
coming soon. Start developing today with the CC3200 solution with these
development tools.
Both SensorTags come with 10 integrated low-power sensors including the TI OPT3001 precision ambient light sensor, TI HDC1000 integrated humidity and temperature sensor and TI TMP007 contactless IR thermopile sensor. Additional sensors include a 9-axis motion sensor (gyroscope, compass and accelerometer), altimeter/ pressure sensor, digital microphone and magnet sensor.
SimpleLink SensorTag DevPacks
New to the next-generation CC2650 SensorTag is the ability for developers to customize their kit to fit their design with new DevPack plug-in modules. DevPacks available today include:
-- The $15 Debug DevPack is based on the TM4C1294 microcontroller (MCU) to
add debug capabilities to the SensorTag. Plug it into the DevPack
expansion header and debug the SensorTag with Code Composer Studio IDE,
TI Cloud Tools, or IAR embedded workbench for ARM(®).
-- The Display (watch) DevPack adds a 1.35 inch ultra-low power graphical
display to the SensorTag. The Watch DevPack is designed for development
of smartwatches, refrigerator displays and any other application that
has a need for a remote display.
-- The LED Audio DevPack consists of four high power multi-color LEDs and a
4W audio amplifier powered by a micro-USB for home automation and smart
lighting applications.
-- Create your own! If developers cannot find a specific DevPack to fit
their needs, they can create their own by downloading the Build Your Own
DevPack guide.
Pricing and availability
The new SimpleLink multi-standard CC2650 SensorTag (CC2650STK) is available now for $29 on the TI Store and through TI authorized distributors. Related software for each connectivity standard is also available:
-- Bluetooth Smart software
-- 6LoWPAN software
-- ZigBee software
The SimpleLink SensorTag DevPacks are also available on the TI Store and through TI authorized distributors:
-- Debug DevPack (CC-DEVPACK-DEBUG) for $15
-- Display DevPack (DEVPACK-WATCH) for $19
-- LED Audio DevPack (DEVPACK-LED-AUDIO) for $19
Pricing and availability for the SimpleLink Wi-Fi CC3200 SensorTag will be coming later in 2015.
TI's SimpleLink wireless connectivity portfolio
TI's SimpleLink portfolio of low and ultra-low power wireless connectivity solutions - wireless MCUs and wireless network processors (WNPs) for the broad embedded market - makes it easier to develop and connect anything to the Internet of Things (IoT). Spanning over 14 standards and technologies including Bluetooth(®) Smart, Wi-Fi(®), Sub-1 GHz, 6LoWPAN, ZigBee(®) and more, SimpleLink products help manufacturers easily add wireless connectivity to anything, to any design, for anyone. http://www.ti.com/simplelink.
About Texas Instruments
Texas Instruments Incorporated (TI) is a global semiconductor design and manufacturing company that develops analog ICs and embedded processors. By employing the world's brightest minds, TI creates innovations that shape the future of technology. TI is helping more than 100,000 customers transform the future, today. Learn more at http://www.ti.com.
Trademarks
SimpleLink, TI E2E, Z-Stack and Code Composer Studio are trademarks of Texas Instruments. All other trademarks belong to their respective owners.
Huawei Brings Great Design within Reach with New Unlocked P8 lite Smartphone
New unlocked purchase benefits make P8 lite and other Huawei unlocked devices even more attractive
PLANO, Texas, June 3, 2015 /PRNewswire/ -- Huawei, a leading global information and communications technology solutions provider, today launched the Huawei P8 lite in the U.S., making it possible for U.S. consumers to have premium design and features at an accessible price. Available for just $249.99 USD, the P8 lite will be available as an unlocked device, giving consumers the option to take their phone to the network* of their choice.
"With a perfect balance of style, functionality and value, the P8 lite gives consumers a premium yet easily accessible device and experience," said Mr. Zhiqiang Xu, President of Huawei Device USA. "We are expanding our smartphone, wearable and tablet portfolio to meet U.S. consumers' needs and are committed to delivering the right devices at the right prices with a tremendous consumer experience."
Accessible Design
With design and craftsmanship inspired by the company's premium global flagship devices, Huawei P8 lite's ultra-slim 7.7mm profile and thin bezels make it perfectly compact and well-balanced in the hand. Demonstrating sophisticated design and quality craftsmanship, the metallic appearance of the phone's back panel is achieved through a layered design of contrasting hues and a hand-crafted satin coating that feels smooth to touch, but glimmers in direct light.
Accessible Technology
The P8 lite isn't just beautiful-- it's also elegantly functional. The 5-inch HD Corning(®) Gorilla(®) Glass 3 display is designed for visual clarity and utmost durability. The 13 megapixel rear camera has built-in low light and autofocus capabilities which, along with the multi-frame noise reduction algorithm, ensure photos are clearer and brighter.
In addition to the solid camera performance and streamlined design, the Huawei P8 offers:
-- 5.0-inch 720p HD screen
-- Powerful Octa-core Qualcomm® Snapdragon(TM) 615 processor
-- Ultra-fast 4G LTE connectivity with support for all US GSM LTE bands
-- Intuitive and easy-to-use Emotion UI 3.0 interface
-- 2200mAh battery with ultra-power saving mode
-- Unique photo capture options including:
-- All Focus: Change the focal point of saved images
-- Ultra Snapshot: access the camera instantly, even from sleep mode
-- 5 megapixel front-facing camera
-- Dual-SIM/SIM+Expandable MicroSD up to 32GB
Transforming the Unlocked Experience
With the launch of the P8 lite, Huawei is transforming consumers' unlocked purchase experience. While more than 42 percent(1) of consumers use or intend to purchase an unlocked device, there are still significant barriers that give consumers pause or reason to lack confidence. Among the leading roadblocks to purchasing an unlocked device are concerns about after-sales service, phone price and sales channels(2). Huawei is addressing all of these concerns by introducing new benefits throughout the unlocked device purchase process.
Huawei is introducing a new two-year warranty on unlocked smartphones launched this year, including the SnapTo, introduced in April, and the all new P8 lite. Consumers need only register at GetHuawei.com to receive the warranty's benefits for an extended term. The two-year warranty joins Huawei's already strong commitment to post-purchase support, with local customer service, localized repair and a variety of contact channels for consumers.
Though the unlocked purchase model provides more freedom and choice, there is still an up-front cost for the device. Huawei is removing device sticker shock and giving consumers more flexible payment options by partnering with financial services leader Affirm. Now, consumers who purchase devices on http://www.GetHuawei.com have the option to select fixed installment plans of three, six and 12-months at the point of sale for device purchases of $99 or more. And once a purchase is made, consumers can have the confidence that it will arrive as promised and with easily accessible customer support thanks to Huawei's partnership with trusted fulfillment provider Amazon.
"With the introduction of new unlocked benefits and with close collaboration from our valued partners, Huawei is taking the next step forward to make buying a quality unlocked device worry-free for consumers," said Xu. "At Huawei, we are committed to not only delivering great devices at the right price, but also the services and support that let consumers purchase our products with confidence."
Availability
P8 lite is available beginning today, June 3, through Huawei's ecommerce site, GetHuawei.com, Amazon.com, BestBuy.com, B&H in-store and online, Fry's Electronics in-store and online and various dealer networks. P8 lite will also be coming soon to select Best Buy stores.
In celebration of GetHuawei.com's one-year anniversary, consumers who are registered or register on the website between June 3 and June 6 will receive promotional incentives good for discounts on select products, including the newly launched P8 lite, Mate2 and SnapTo.
As at the end of 2013, Huawei's products and services are deployed in over 170 countries, serving more than one third of the world's population. Huawei ranked third in global smartphone shipments in 2013. Huawei has also established more than 16 R&D centers around the world in countries such as the United States, Germany, Sweden, Russia, India, and China. One of Huawei's three business groups, Huawei Consumer Business Group (BG) provides a range of products including mobile phones, mobile broadband (MBB) devices, home devices and cloud services. With more than 20 years of rich business expertise in the information and communications technology (ICT) sector, an extensive global network, vast global business operations and partners, Huawei Consumer BG is dedicated to bringing the latest technology to consumers, offering a world of possibilities and creating extraordinary experiences for people everywhere.
For more information, visit Huawei Consumer BG online: consumer.huawei.com/us
For regular updates on Huawei Consumer BG, follow us on:
Facebook: facebook.com/huaweideviceusa
Twitter: twitter.com/HuaweiDeviceUSA
Google+: plus.google.com/+HuaweiDeviceUSA
YouTube: youtube.com/user/HuaweiDeviceUSA
Flickr: flickr.com/photos/huaweideviceusa
Instagram: instagram.com/huaweideviceusa
Media contacts:
Drew Crowell
(214) 919.6119
drew.crowell@huawei.com
(1)December 2014 Ipsos 2014 Global Brand Tracker For Huawei Device USA
(2)December 2014 Ipsos 2014 Global Brand Tracker For Huawei Device USA
*Huawei P8 lite supports GSM, WCDMA and GSM LTE networks
Powerful, Affordable Nextbook Flexx 2-in-1 Windows Tablets Come to Walmart
Flexx 11 and Flexx 10 Feature Windows 8.1 Operating System, Intel® Atom(TM) Processors, and Detachable Magnetic Keyboards
WEST COVINA, Calif., June 2, 2015 /PRNewswire/ -- E FUN introduces the Nextbook Flexx line of 2-in-1 tablets with Windows 8.1. The new 11.6" Flexx 11 and its smaller sibling, the 10.1" Flexx 10, deliver a great value with the best features of a tablet and laptop, including a detachable POGO keyboard and touch screen. Designed for the budget-conscious consumer, these Intel-powered tablets are offered at select* Walmart stores and Walmart.com for $227 (Flexx 11) and $178 (Flexx 10). Owners of the Nextbook Flexx tablets can upgrade to Windows 10 for free** starting July 29.
Flexx 11 - Portable Powerhouse for the Entire Family
The larger Nextbook Flexx 11 features an 11.6" 1366x768 IPS display, great for reading, browsing the web, emails, playing games and more. With 64GB internal storage, the Flexx 11 is perfect for the entire family to share. The flagship model of the new line, the Flexx 11 features a stylish blue trim and backlit LED keyboard.
Flexx 10 - Supercharged for the Modern Lifestyle
The Flexx 10 updates the highly popular 10.1" Nextbook and features a 1280x800 IPS display with 32GB internal storage. It is an incredible value with an included one-year subscription to Microsoft Office 365 Personal with 1TB of OneDrive cloud storage for one year and 60 Skype world minutes per month for one year.
High-End Performance at an Affordable Price
The Nextbook Flexx tablets feature smooth performance for apps, games, videos and more.
-- Quad-core Intel® Atom((TM)) Z3735F processor with 2GB of system memory.
-- Bluetooth v4.0 and Wi-Fi 802.11 b/g/n make browsing, streaming,
downloading and more quick and easy.
-- 3.7V 9,000mAh Lithium batteries support up to 8 hours of general use.
Entertainment at Its Best
The new Nextbook Flexx 2-in-1 tablets have everything a user needs to stay entertained, connected, and informed from almost anywhere.
-- Apps and games available from the Windows Store, including Nook for
Windows 8.
-- Micro HDMI port allows content to be displayed on a larger TV screen.
-- 3-axis G-sensor for gaming without constraints.
Essential Features for Functionality
With a variety of ports and features, the Nextbook Flexx tablets give consumers the flexibility they need.
-- 2-megapixel front and back cameras and a microphone capture pictures and
videos.
-- MicroSD card slot and Micro USB port extend the tablet's storage
capacity.
-- Detachable keyboard includes two USB 2.0 ports for additional storage or
connecting external devices such as a mouse or printer.
Quotes Section
-- "We are very excited to launch our second generation of Windows tablets
that are the result of tremendous sales success in 2014 and enhancements
based on customer feedback, including a larger screen size on the Flexx
11. These tablets will be upgradeable when Windows 10 becomes available
this summer, maximizing the value for our customers." - Jason Liszewski,
managing director and VP of sales for E FUN
-- "The next generation of Windows Nextbook 2-in-1 products demonstrates E
FUN's ability to bring innovative productivity devices to market, at
compelling prices. We are glad to work closely with our partners to
expand the Windows ecosystem with affordable and versatile devices like
the Flexx." - Jordan Chrysafidis, VP of U.S. OEM Division, Microsoft
Corp.
-- "We are excited to continue our support for E FUN's Nextbook product
line with the Intel Atom processor, providing performance for great
tablet gaming, video and web browsing experience with long battery
life." - Josh Newman, general manager for Intel's 2 in 1 and Tablet
Segment
-- "It is encouraging to see E FUN once again offer products at a fantastic
value, helping to drive growth in the 2-in-1 space. We anticipate
detachables continuing to rise in popularity in 2015." - Jean Philippe
Bouchard, research director, tablets at IDC
For complete product details, please visit http://www.nextbookusa.com.
*Nextbook Flexx 10 in 3,857 Walmart stores; Nextbook Flexx 11 in 1,435 Walmart stores
**See Windows.com/windows10upgrade for availability and other details
About E FUN
E FUN, a designer and marketer of mobile lifestyle products, entered the North American market in late 2010. Since then, E FUN has grown to be one of the leading tablet brands at retail, achieving top five market share by volume in 2013. Its ongoing product innovation and partnerships set E FUN apart from competitors and provide customers with unique out of the box experiences. Its product offerings include Android and Windows tablets, home automation devices, and wearables. E FUN is headquartered in West Covina, California.
Photo:https://photos.prnewswire.com/prnh/20150602/220061 http://photoarchive.ap.org/
Video:http://origin-qps.onstreammedia.com/origin/multivu_archive/MNR/7515651_NXW116QC264_video_0527.mp4
Video:http://origin-qps.onstreammedia.com/origin/multivu_archive/MNR/7515651_NXW101QC232_video_0527.mp4
Video:http://www.multivu.com/players/English/7515651-e-fun-nextbook-flexx-2-in-1
E FUN
DMI and Addison Lee Launch Apple Watch App to Make Ordering a Car Service as Simple as Three Clicks on the Wrist
Leading London Business-Class Car Service Combines the Latest Technologies with Traditional Customer Service Values
BETHESDA, Md. and LONDON, June 3, 2015 /PRNewswire/ -- Smart watches are quickly becoming the hottest category leader in the wearable device market. Analyst firm BI Intelligence estimates that smart watches will account for 50 percent of total wearable device shipments in 2015 and will expand to over 70 percent in 2019. This exponential growth rate is also generating the necessity for enterprises to incorporate compatible apps into their mobile marketing efforts. DMI and London-based, business-class car service Addison Lee announced today the launch of an Apple Watch app to better serve its London-based clientele with plans to extend the service to New York City by the end of the year. Download the Addison Lee app today at http://apple.co/1dKgSGC.
Addison Lee and DMI have been working together for the last couple of years on Addison Lee's mobile apps which are available in both iOS and Google Play stores. DMI provides its clients with the expertise they need to help them identify and incorporate the latest mobile technologies to better serve their customers.
-- Booking a London cab in three clicks - Addison Lee knows that 82 percent
of its clients' journeys are simple ones, meaning picking up a client
and transporting them to a frequent location. By providing them with an
intuitive app, its customers enjoy a fast, easy way to book a car in as
little as three clicks, and with hundreds of thousands of bookings
originating from its iOS app each month, the company anticipates its
Apple Watch app will be well-received by its client base.
-- Addison Lee and DMI bring popular app to clients' wrists - Consumers are
able to enjoy the power of their Apple Watch through this convenient,
easy-to-use app. Features include:
-- Know the price before you travel
-- Track the driver arriving
-- Intuitive interface and use of GPS to make booking quicker and
easier
-- Stores your favorite addresses for simpler frequent journeys and
"Take Me Home" bookings
Peter Boucher, chief commercial officer, Addison Lee, said:
"Following the global expansion of our business in New York earlier this year, we wanted to create an updated mobile app that would benefit not only our European-based customers, but also our increasing number of busy, on-the-go clients across the Atlantic. The new version of the app, together with the version for the Apple Watch, will aim to provide our passengers with an even greater user experience."
Magnus Jern, president of mobile application solutions division, DMI, said:
"Mobility is a constantly changing landscape, and our job is to assist our clients to achieve the greatest user engagement, regardless of the platform. With over 250+ mobile applications and websites delivered in the past year, our teams are focused on usability testing, quality assurance and an agile development process to help our customers achieve a successful mobile-first approach."
About Addison Lee:
Addison Lee is a London-based business class car service. The company was founded in 1975 with the belief that taxis and minicabs could be done better. By combining the latest technologies with traditional customer service values, it disrupted the industry (before disrupting industries had even become a thing). With offices in 350 cities worldwide, Addison Lee's iconic black cars have been on the road ever since, transporting over 10 million passengers a year in London alone. Visit http://www.addisonlee.com to learn more.
About DMI:
DMI, the world's first end-to-end mobility company, combines all the skills and services necessary to deliver mobile enterprise solutions. Built to reinvent business through mobility, DMI has expertise in mobile strategy, UX, web, and app development, omni-channel commerce, brand and marketing, big data management and analytics, and secure device and app management. The company's unique, integrated approach to mobility has resulted in dramatic growth as well as an expanding client base, which includes hundreds of Fortune 1000 commercial clients and all fifteen U.S. Federal Departments. Additional information is available at http://www.dminc.com and on LinkedIn, Twitter, Facebook, and Google+.
Socket Mobile And RAM® Mounting Systems to collaborate on robust single handed barcode scanning solution
Features include robust universal connector-charger with protective package for both the 8 Series scanner and popular devices such as the Apple iPhone, iPod Touch® and Samsung Galaxy phones
NEWARK, Calif., June 3, 2015 /PRNewswire/ -- Socket Mobile, Inc. (OTC: SCKT), an innovative provider of mobile productivity solutions, today announced it is working with RAM Mounting Systems to address a need to connect, protect, and simultaneously house and charge it's Socket Mobile Series 8 barcode scanner with popular devices such as the Apple iPhone, iPod Touch® and Samsung Galaxy phones. This capability has been requested by development partners and end-users seeking a robust single handed solution that enables both scanning and simultaneously charging for popular Apple and Android based devices. This collaboration will provide the market with a new compelling solution that fulfills this need.
Socket's Series 8 mobile barcode scanners are designed to be connected to a mobile host such as smartphones and the Apple iPod touch in multiple convenient attached configurations. Approximately the same size as a credit card measuring less than 1/2 inch (1.27cm) thick and weighing less than two ounces (48g), the Series 8 are the smallest and lightest 1D and 2D barcode scanners available in the market today. The CHS 8Ci (1D) and 8Qi (2D) scanners are supported in Socket Mobile's Software Developers' Kit (SDK), allowing developers to embed support for the CHS 8 Series products into their applications. Developers can obtain an SDK at: http://www.socketmobile.com/developers/
RAM Mounting Systems has developed it's, patent pending, IntelliSkin(TM) with Global Docking System (GDS) Technology(TM) to provide robust drop protection and an integrated rugged data and charge connector that's compatible with any of its many GDS docks covering a variety of use cases.
Interested developers may obtain more information about the product under a non-disclosure agreement by contacting James Lopez, Socket's Vice President of Marketing at (510) 933-3050. The capability is expected to be available during the fourth quarter of 2015.
About Socket Mobile Founded in 1992, Socket Mobile is a leading innovator of data capture solutions for enhanced productivity in retail point of sale, field service, healthcare, and other mobile markets. Socket Mobile's portfolio includes cordless handheld barcode scanners for tablets and smartphones. Socket Mobile's revenue is primarily driven by 3rd party developer deployment of barcode enabled mobile applications. Socket Mobile has a robust network of over 1,400 registered developers using its software developers kit to tightly integrate and enable sophisticated barcode scanning into their applications. Socket Mobile is headquartered in Newark, Calif. and can be reached at +1-510-933-3000 or http://www.socketmobile.com. Follow Socket Mobile on Facebook, Twitter @socketmobile or subscribe to sockettalk.socketmobile.com, the company's official blog.
About Ram Mounting Systems Ram Mounting Systems is an industry leading US manufacturer of innovative and durable products distributed globally. Ram Mounting Systems utilizes a diverse set of manufacturing capabilities to offer full service product design and development.
Media Contacts: For Product Information Contact:
Dave Dunlap James Lopez
Chief Financial Officer Vice President of Marketing
Wirecard and Premier Taxis Partner for Next Generation Taxi Payment Infrastructure
ASCHHEIM (MUNICH), Germany, June 3, 2015 /PRNewswire/ --
Wirecard Group, with its Singapore subsidiary Wirecard Singapore Pte Ltd, today
announced that Premier Taxis Pte Ltd has chosen Wirecard to provide its next generation
payment infrastructure for more than 2,000 taxis in Singapore.
Premier Taxis Pte Ltd, founded in 2003, is a fully owned subsidiary of Premier
Corporation Pte Ltd, an investment holding company with other interest in car rental
services and fleet management services.
Wirecard will provide Premier Taxis with a fully integrated suite of payment
solutions, enabling taxis to accept payments with MasterCard, PayPass, American Express,
JCB, UnionPay, Diners, NETS Debit and FlashPay and ez-link cards.
Wirecard's solution includes cashless payment terminals fully integrated with the
Premier Taxis Mobile Data Terminal (MDT) embedded in every taxi for fleet and order
management purposes. Furthermore Wirecard will provide Premier Taxis with real-time
payment processing and reconciliation services.
"Wirecard's innovative solution for taxis allows us to offer an improved and wider
range of payment experience for customers and taxi drivers while helping us to lower our
operating expenses, thanks to the solution's tight integration with our fleet management
system," explains Lim Chong Boo, Managing Director, Premier Taxis.
"It is a great pleasure to welcome Premier Taxis amongst our first clients for our
next generation payment solution for the taxi industry," says Jeffry Ho, Managing
Director, Wirecard Singapore. "By catering to the needs of all stakeholders - from the
customer, to the taxi driver and the fleet operator - we have designed a truly distinct
solution for the taxi industry."
About Wirecard:
Wirecard AG is a global technology group that supports companies in accepting
electronic payments from all sales channels. As a leading independent supplier, the
Wirecard Group offers outsourcing and white label solutions for electronic payments. A
global platform bundles international payment acceptances and methods with supplementary
fraud prevention solutions. With regard to issuing own payment instruments in the form of
cards or mobile payment solutions, the Wirecard Group provides companies with an
end-to-end infrastructure, including the requisite licences for card and account products.
Wirecard AG is listed on the Frankfurt Securities Exchange (TecDAX, ISIN DE0007472060,
WDI). For further information about Wirecard, please visit http://www.wirecard.com or
follow us on twitter @wirecard.
About Premier Taxi:
Premier Taxis Pte Ltd. is a fully owned subsidiary of Premier Corporation Pte Ltd, a
leading local car rental and Fleet Management Service Provider since 1995.
The company was formed when the holding company was granted a Taxi Operator's License
following the deregulation of the Taxi Industry in 2003.
ONOS Accelerates Real SDN/NFV Solutions with Deployments in R&E Networks, New Comprehensive Feature Sets and Performance Improvements
Vendors and partners collaborate on new Cardinal release to further enable deployments and solution POCs that will be demonstrated at ONS2015
MENLO PARK, Calif., June 2, 2015 /PRNewswire/ -- ONOS' community today announced the availability of the third release of its open source SDN Open Network Operating System (ONOS), named Cardinal. Providing the best value proposition for scale, performance and high availability, Cardinal adds comprehensive feature sets and performance improvements to enable a new variety of deployments and solution proof of concepts (POCs). Cardinal delivers several significant enhancements, mainly in the areas of Application Intent Framework, southbound interfaces and new distributed core features and capabilities.
ONOS is currently deployed live in three research and education networks worldwide with more to come in Europe and Asia. These include SDN-IP peering application deployments at Internet2 in the U.S. and FIU/AmLight to South America as well as a BGP Peering Router deployment at CSIRO, Australia. Continuing ONOS' aggressively iterative release schedule of new versions every three months, Cardinal improves performance for flow operations, application intent processing and network topology maintenance by more than 25 percent.
In addition to POCs for enabling management of multi-layer IP/optical networks and migration to SDN networks using the SDN-IP peering application, Cardinal creates several new uses by enabling the central office to be re-architected as a data center (CORD).
Cardinal's Application Intent Framework supports all the key solution POCs that will be demonstrated at the Open Networking Summit (ONS2015) conference with extensions such as MPLS and tunnel support added to the framework to support a wide variety of SDN applications.
A new flow-objective subsystem in the distributed core enables device agnostic SDN deployment. It allows apps to use a variety of OpenFlow switches with support for multiple tables without being concerned about the device specific details. It is definitely a big step forward towards the inter-operability and elimination of the solution silos that exist today.
At the southbound, NETCONF and PCEP interfaces are now available, and the TL1 interface will be used by two vendors for a few solution POCs although TL1 is not yet available from ONOS for open source distribution.
Cardinal has additional capabilities added to make deployment easier from experience gained in deploying in Internet2 and in a recent demonstration connecting ESnet in California and AARNet in Australia.
"The ONOS team has been running on all cylinders and continues to increase momentum with the help from the community," said Bill Snow, vice president of Engineering at ON.Lab. "The code base continues to add functionality, while keeping code quality high and continually improving performance. ONOS' use cases really show its unique capabilities to transform service provider and mission critical networks."
Along with some of its partners, ON.Lab will demonstrate the following POCs at ONS2015:
-- CORD (Central Office Re-architected as Datacenter) - SDN enabled DC
fabric- VNF at the CO (vCPE, vOLT, vBNG)
-- SDN enabled Multi-layer Network Control
-- Migration path towards SDN: SDN-IP peering application
-- Internet2 real-world deployment showcase
All of the POCs will use real hardware and third-party software functions and are the result of joint efforts by ON.Lab, multiple vendors and network operators.
The ONOS project is gaining a lot of momentum. Each ONOS release has a clear focus and continues to validate its coherent and clean-slate software architecture design, industry leading performance/scale/HA, and the empowerment of SDN/NFV deployments and solutions.
Since the announcement of open source, the network industry giant Cisco, and two major Service Providers SK Telecom and China Unicom joined the project as funding partners. There is also significant growth in terms of the number of collaborating organizations and individuals in the ONOS community.
The ONOS project continues the effort in collaborating with other open source projects such as ONF and OPNFV. Recently ONOS officially joined the effort for one of the OPNFV use cases. In addition, ONOS will join ONF to showcase some of the important solution POCs together at ONS2015 as well.
ON.Lab's POCs will be part of the SDN Solutions Showcase during ONS2015 that takes place June 15-18 at the Santa Clara Convention Center. The Showcase will be open during expo hours on June 16 from 12 - 2 p.m. and 5:30 - 8 p.m. PDT; June 17 from 12 - 8:30 p.m. PDT; and June 18 from 10:30 a.m. - 2 p.m. PDT. Come by and see the latest innovations in SDN, NFV and open networking from ON.Lab and its community.
About ONOS and ON.Lab
ONOS is a SDN networking operating system for Service Provider networks architected for high performance, scale and availability. ONOS' ecosystem comprises of ON.Lab and organizations that are funding and contributing to the ONOS initiative. These include AT&T, NTT Communications, SK Telecom, China Unicom, Ciena, Cisco, Ericsson, Fujitsu, Huawei, Intel and NEC; members who are collaborating and contributing to ONOS include ONF, Infoblox, SRI, Internet2, Happiest Minds, KISTI, KAIST, Kreonet, CNIT, Black Duck, Create-Net and the broader ONOS community. Learn how you can get involved with ONOS at onosproject.org.
Open Networking Lab (ON.Lab) is a non-profit organization founded by SDN inventors and leaders from Stanford University and UC Berkeley to foster an open source community for developing tools and platforms to realize the full potential of SDN. ON.Lab brings innovative ideas from leading edge research and delivers high quality open source platforms on which members of its ecosystem and the industry can build real products and solutions. For further information on ON.Lab, visit http://onlab.us/.
Press Contact
Bob Eastwood, Engage PR for ON.Lab, 510-748-8200 x215, beastwood@engagepr.com
ON.Lab & ONOS Contact
Sheryl Zhang, Chief of Strategy and Partnerships for ON.Lab, sheryl@onlab.us
Bureau van Dijk Enriches Mint UK With New Technology Tracker
LONDON, June 2, 2015 /PRNewswire/ --
New module provides technology insights on up to 50,000 UK companies
Bureau van Dijk (BvD), one of the world's leading business information publishers, has
recently released an additional module to Mint UK, its company information solution for
sales and marketing teams. The new Technology Tracker provides intelligence on the
hardware and software in up to 50,000 companies in the UK. The module is powered by HG
Data, the global leader in competitive intelligence for installed technologies.
The Technology Tracker complements Mint UK's existing information on companies'
financials, management and corporate structures, and adds extra depth to its coverage of
5.5 million companies across the UK. The new dataset will be particularly useful to
business development teams in the technology sphere.
HG Data generates its information from unstructured documents across the internet,
archived web and offline resources - including B2B social media, case studies, press
releases, blog postings, government documents, content libraries, technical support
forums, website source code, job postings and CVs. It then applies the latest data science
practices to create a comprehensive dataset of installed technology intelligence. Blending
this data with Mint UK means its users can now find out what technology a company is using
and also create searches to find companies fitting specific profiles who are using
particular technologies.
Tim Baskerville, HG Data's international president said, "We are proud to power the
new Technology Tracker within the market leading Mint database and we're confident our
information, which is the largest and most accurate dataset of its type, will offer BvD's
Mint users valuable insight and competitive advantage."
Fergus Duncan, BvD's UK managing director, added, "Mint is already a market-leading
tool for business development teams and the new technology tracker tool enhances this
further. This data, combined with Mint's powerful software and CRM integration options,
will be invaluable for businesses in the technology sphere and users will be able to
quickly zone in on the companies offering them the best sales opportunities."
BvD is offering free demonstrations of Mint UK with the new Technology Tracker. Email
bvd@bvdinfo.com for more information.
Screenshots and photographs are available on request.
About Bureau van Dijk
Bureau van Dijk (BvD) is the leading provider of private company information and
offers intelligence on over 150 million companies across the globe through its Orbis
database. BvD's product range combines data from regulatory and other sources, including
120 information partners, with flexible software to allow users to manipulate data for a
range of research needs and applications.
In addition, BvD addresses specific business challenges through its range of Catalysts
including transfer pricing, credit, procurement, KYC, client on-boarding, M&A research and
valuations, while BvD custom delivers fully bespoke solutions. BvD also publishes the
global M&A database, Zephyr.
HG Data is the global leader in competitive intelligence for installed technologies.
The world's largest technology companies, the fastest-growing start-ups, and some of the
most innovative OEM partners achieve an unfair advantage by using HG Data in building
market analysis, competitive displacement, predictive modelling, marketing campaigns, and
client retention initiatives.
Every day, HG Data indexes more than one billion unstructured documents across the
open Internet, the archived Web and offline resources to produce a detailed, accurate-and
growing-census of B2B technology installations in use at companies globally. Indexed
resources include content such as social media, case studies, press releases, blog
postings, government documents, content libraries, technical support forums, website
source code, and job postings. Founded in 2010, the company is based in Santa Barbara,
California, USA. For more information, see http://www.hgdata.com
Contact information:
Paul Mullin
Content Manager
Bureau van Dijk
Tel: +44-(0)20-7549-5000
paul.mullin@bvdinfo.com
Iconic Video Game Franchise Mega Man(TM) In Development For 26-Episode Animated TV Series By Dentsu Entertainment USA, Inc. & Man Of Action Entertainment
Capcom's Perennial Hit Ready for Airwaves By 2017 Mega Man 30th Anniversary
SANTA MONICA, Calif., June 2, 2015 /PRNewswire/ -- Dentsu Entertainment USA has partnered with Man of Action Entertainment to develop a 26-episode animated series based on Capcom's iconic video game franchise Mega Man(TM) for the global market, it was announced today by Yuichi Kinoshita, President and Chief Executive Officer, Dentsu Entertainment USA, Inc. Under terms of the deal, Dentsu Entertainment holds worldwide broadcast and licensing rights for all aspects of the new Mega Man TV series.
Dentsu handpicked Man Of Action Entertainment, creators of Ben 10 and Generator Rex, to create, write and executive produce the all-new Mega Man animated series. Disney/Marvel's Academy Award®-winning feature Big Hero 6 utilized the characters and team created by Man of Action, the bi-coastal creative studio and writers' collective formed by creators and acclaimed comic book writers Joe Casey, Joe Kelly, Duncan Rouleau and Steven T. Seagle. Marvel called upon Man of Action to produce and write Ultimate Spider-Man and Marvel's Avengers Assemble for their successful launches on Disney XD. The new Mega Man series has a target air date of 2017, coinciding with the franchise's 30(th) anniversary.
"We are very excited about the opportunity to introduce an all-new Mega Man to loyal fans and kids," said Kinoshita, commenting on the deal. "Having a celebrated character from Japan reimagined by Man Of Action is the ideal project for Dentsu Entertainment USA."
Man of Action's Rouleau said, "The 1990s Mega Man TV series was cool and different than other series targeted at kids during that time. It featured great action, but also brought the laughs. Mega Man is a character that is even more relevant for today's kids and we are really looking forward to creating something new that still respects the long tradition of the character."
The Mega Man franchise is grounded in a series of video games, first launched in 1987, featuring battles fought by the eponymous blue robot protagonist and an ongoing cast of allies and enemies. The Mega Man multiverse has spawned over 130 video games on multiple gaming systems, selling over 30 million copies worldwide, as well as a plethora of toys, comics and collectibles. A highly-rated Mega Man TV series was popular in the USA in the 1990s and aired for several seasons.
About Dentsu Entertainment USA, Inc.
Dentsu Entertainment USA, Inc. was formed in 2010 as a wholly owned subsidiary of Dentsu Inc. (Tokyo Stock Exchange, Code 4324). Dentsu Inc. is the world's largest advertising agency, and a leading producer of Japanese animation, with approximately 40,000 full-time employees and more than 750 subsidiaries and affiliates worldwide. Dentsu Entertainment USA develops original animation programming and media content for domestic and international markets, and manages licensing programs for new and existing properties. Current projects include: YO-KAI WATCH(TM) now in development for the Americas in 2015, which debuted on TV Tokyo and is consistently ranked as the top-rated show in its time block; LBX(TM) (a.k.a. " Little Battlers eXperience"), a highly successful animation, video game and toy franchise, based on miniature customizable robots; Deltora Quest®, a 52-episode animated series airing globally, and based on the international top-selling fantasy-adventure book series of the same name; and Monsuno®, an innovative toy line and animated boys action adventure series currently airing on Nicktoons and free-to-air channels in more than 150 countries. Dentsu Entertainment USA is headquartered in Santa Monica, CA. For more information, please visit http://www.DentsuEntertainment.com.
About Capcom
Capcom is a leading worldwide developer, publisher and distributor of interactive entertainment for game consoles, PCs, handheld and wireless devices. Founded in 1983, the company has created hundreds of games, including best-selling franchises Resident Evil(TM), Street Fighter(TM), Mega Man(TM) and Devil May Cry(TM). Capcom maintains operations in the US, UK, France, Germany, Tokyo, Hong Kong and Korea, with corporate headquarters located in Osaka, Japan. More information about Capcom and its products can be found at http://www.capcom.com.
About Man Of Action Entertainment
Man of Action Entertainment, the bi-coastal creative studio and writers' collective, started in 2000, created the megahit Ben 10, a three billion dollar boys' action empire that has been called the most successful superhero launch of the past decade, and Generator Rex, bothfor Cartoon Network. Man of Action Entertainment consists of creators and acclaimed comic book writers Joe Casey, Joe Kelly, Duncan Rouleau and Steven T. Seagle, having collectively worked on the largest franchise characters in comics from Superman to X-Men before refocusing on creating worlds and characters for their own original work published through their Man of Action imprint at Image Comics. Man of Action served as co-executive producer and writer for Marvel's Ultimate Spider-Man and as executive producer/writer for Marvel's Avengers Assemble on Disney XD. Beyond the world of animation, Man of Action has created wildly successful video games, toy lines, comic books, stage productions, as well as forthcoming feature films and live action television series, most based on their own original comic book series and graphic novels. Disney/Marvel's Academy Award®-winning feature Big Hero 6 utilized the characters and team created by Man of Action, as credited in the film's main titles. The film marked both the first time Disney turned Marvel comic book characters into an animated film and the first film based on comic book I.P. to win an Academy Award®. Upcoming projects based upon Man of Action creations include The Great Unknown, KAFKA, Officer Downe, I Kill Giants and The Crusades with Man of Action producing them and in most cases, creating and writing the adaptation of their original creator-owned work. Learn more at: http://www.manofaction.tvFacebook:http://www.Facebook.com/ManOfActionEntTwitter:http://www.Twitter.com/ManOfActionEntInstagram:http://instagram.com/ManOfActionENT
CONTACTS: For Dentsu Entertainment USA: For Man of Action Entertainment:
----------------------------- --------------------------------